PD Interview Questions - 1
PD Interview Questions - 1
This is the first major step in getting your layout done. Your floor plan determines your chip quality. At this
step, you define the size of your chip/block, allocates power routing resources, place the hard macros, and reserve
space for standard cells
4. Whathappens if pins assign to left or right.(if you have IO pins at top and bottom) ?
Ans: Actually top level chip will be divided into some blocks, IO pins will be placed
according to the communication between surrounding blocks.
If we assign pins to left and right rather than top and bottom we will face routing issues in further stages.
5. How we will assign spacing between two macros?
Ans: channel spacing= 2*no of pins*pitch/ total number of metal layers
6. In placement what are the congestion types, how to resolve congestion?
Ans: we will see congestion where available tracks are less than required tracks.
We may see congestion because of
Cell density
Pin density
Bad floorplan
There are two types of congestion
1. horizontal congestion and
2. vertical congestion
we will see horizontal congestion when horizontal tracks are less and similarly for vertical congestion if
vertical tracks are less
prevention techniques:
we can avoid congestion by placing blockages.
Cell padding
Scan chain reordering.
7. What happens if cell density and pin density is more, how to resolve it?
Ans: if cell density and pin density is more we will see congestion and routing issues.
By placing partial blockage we can avoid cell density and by cell padding we can avoid pin density.
8. what happens if cells place closer to macros?
Ans: if cells are placed close to macros we will see routing issues near macros, to avoid
this we are placing Halo around the macro.
9. explain about power planning?
Ans: Power Planning is one of the most important stage in Physical design. Power network is being
synthesized, It is used provide power to macros and standard cells within the given IR-Drop limit. Steady
state IR Drop is caused by the resistance of the metal wires comprising the power distribution network.
By reducing the voltage difference between local power and ground, steady-state IR Drop reduces both
the speed and noise immunity of the local cells and macros.
Power planning management can be divided in two major category first one is core cell power
management and second one I/O cell power management. In core cell power planning power rings are
p.shivaram 9703114680
formed around the core and macro.In IO cell power planning power rings are formed for I/O cells and
trunks are created between core power ring and power pads.
In addition trunks are also created for macros as per the power requirement.
power planning is part of floor plan stage. In power plan, offset value for rings around the core and
vertical and horizontal straps is being define
I/O cell library contains I/O cell and VDD/VSS pad cell libraries. It also contain IP libraries for reusable
IP like RAM, ROM and other pre designed, standard, complex blocks.
10. What happens if IO pins placed at core boundary?
Ans: nothing happen, we can place IO pins in core boundary
11. what are the timing issues after placement?
Ans: DRV’s and setup.
12. what is path timing and data path?
Ans: Timing Path
Timing path is defined as the path between start point and end point where start point and end
point is defined as follows:
Start Point: All input ports or clock pins of a sequential element are considered as valid start point.
End Point: All output port or D pin of sequential element is considered as End point
For STA design is split into different timing path and each timing path delay is calculated based
on gate delays and net delays. In timing path data gets launched and traverses through combinational
elements and stops when it encounter a sequential element. In any timing path, in general (there are
exceptions); delay requirements should be satisfied within a clock cycle.
In a timing path wherein start point is sequential element and end point is sequential element, if
these two sequential elements are triggered by two different clocks(i.e. asynchronous) then a common
least common multiple (LCM) of these two different clock periods should be considered to find the
launch edge and capture edge for setup and hold timing analysis.
Different Timing Paths: Any synchronous design is split into various timing paths and each timing path is verified
for its timing requirements. In general four types of timing paths can be identified in a synchronous design. Theyare:
Input to Register
Input to Output
Register to Register
Register to Output
Data path: The path wherein data traverses is known as data path. Data path is a pure combinational path. It can haveany
basic combinational gates or group of gates.
Ans: INPUTS:
NETLIST(.v) : It contains the logical connectivity information of all sequential and combinational
circuits . It contains the Module Information, Input & Output information of the design, wire information,
Cell & Instance information, Port information, Hierarchy information.
Logic Libraries(.lib) :
It has time, power and functionality of a cell
Time- input delays, output delays, setup and hold
Power- leakage power and internal power
Cell functionality ## For eg:- (A+B)*(B+C)
PVT(process voltage and temperature) conditions
PHYSICAL LIBRARIES (.lef library exchange format) : It contains physical information like
height, width, number of input/output pins their location and their metal layers used and their
directions etc..
Technology Lef : It contains physical info of metal layers and vias.
Standard Cell Lef : It contains physical info of all standard cells.
Macro Cell Lef : It contains physical info of all Macros.
p.shivaram 9703114680
IO Cell Lef : It contains physical info of all Input Output cells
SDC (Synopsys design constraint .sdc): It contains clock related information, timing
exceptions information, External delays information.
Clock definition External delays DRV’S Timing path exceptions
Create clock Input delays Max tran False path
Create virtual clock Output delays max cap Multi cycle path
Create generated clock max fanout Max delay
Create clock uncertainty max net length Min delay
TLU+ FILE (RC TAB) : It contains R, C parasitic information per unit length for each metal and
it is used for calculation of net delay.
Design Exchange Format(.def) : Exact location information is provided. It contains the height,
width, rows , tracks etc.. Info of blocks.
(.upf) : power related information.
(.spec) : max,min ID values , max,min skew values, name of metal layers used , cells used , NDRs
MMMC [Multi – Mode Multi – Corner file] =It is used to generate different analysis views based
on different delay corners and constraints mode.
Constraint checks:
All flops are clocked are not
no unconstrained paths
IO delays
SANITY CHECKS:
Check_ library: missing cell information, missing pin information, duplicates cells.
Check_design: Floating inputs, Tristate buffers, multiple drivers Nets, Combinational loops, Empty modules &
Assign statements.
Check_timing: All flops are clocked or not , should not be unconstrained paths, input and output no clock relative delay.
checkUnique : checks the uniqueness of netlist. ## if Netlist is unique returns 1 otherwise returns 0
Check_legality: reports overlap and cells placement related violation like orientation , overlaps etc
report_constraints: It checks (WNS) and (TNS) DRV’s like worst negative slack, total negative slack
report_Design : reports the max and/or min operating conditions and active design rules for the design
report_qor:-Displays complete QOR information. Timing numbers should be acceptable value.
report_design_mismatch –verbose :-Command that helps identify discrepancies between your design and a reference source.
By providing a detailed report.
check_design – checks pre_placement_stage #atomic checks #design mismatch, scan chain, mv design & timing.
FLOORPLAN:
1. Utilization factor decides the size of the block.
2. Aspect ratio gives shape of the block.
3. After utilization and aspect ratio we go for pin placement. In pin placement we have to place pins legally
4. Macros should be placed according to guidelines
a. Place macros around chip periphery.
If you don’t have reasonable rationale to place the macro inside the core area, then place macros around the chip periphery.
Placing a macro inside the core can invite serious consequence during routing due to a lot of detour routing, because macros are
equal to a large obstacle for routing. Another advantage to placing the hard macros around the core periphery is it's easier to
supply power to them, and reduces the change of IR drop problems to macros consuming high amounts of power.
b. Consider connections to fixed cells when placing macros.
When you decide macro position, you have to pay attention to connections to fixed elements such as I/O and perplaced macros.
Place macros near their associate fixed element. Check connections by displaying flight lines in the GUI.
c. Orient macros to minimize distance between pins.
When you decide the orientation of macros, you also have to take account of pins positions and their connections.
d. Reserve enough room around macros.
For regular net routing and power grid, you have to reserve enough routing space around macros. In this case estimating
routing resources with precision is very important. Use the congestion map from trialRoute to identify hot spots between
macros and adjust their placement as needed.
p.shivaram 9703114680
e. Reduce open fields as much as possible.
Except for reserved routing resources, remove dead space to increase the area for random logic. Choosing different aspect ratio
(if that option is available) can eliminate open fields.
f. Reserve space for power grid.
The number of power routes required can change based on power consumption. You have to estimate the power consumption
and reserve enough room for the power grid. If you underestimate the space required for power routing, you can encounter
routing problems
5 .After macro placement we will place physical cells like endcap and welltapcells
POWER PLANNING:
Power planning is to supply power to the standard cells and macros.
Power pads
↓
Power rings
↓
Power stripes→ Macros
↓
Follow pins
↓
Standard cells
PLACEMENT:Two stages- 1. Course placement 2. Detail placement
1. Course placement:
a. First tool will place standard cells based on hierarchy
b. It will do High fanout net synthesis :
Adding buffers to the high fanouts
c. Scan chain reordering
In a less complex design, you don’t usually do scan reordering. However,
sometimes it may become difficult to pass scan timing constraints once the
placement is done. The scan flip flop placements may create lengthier routes
if the consecutive flops in scan chain are placed far apart due to a functional
requirement. In this case, the PnR tool can reconnect the scan chains, to make
routing easier. A prerequisite for thisoption is a scan DEF for the tool to
recognize the chains.
d. Logical optimization:
Sizing , VT Swapping ,Buffering , Logic restructuring ,
Pin swapping , Cloning , Rebuff ring & Trail route
2. Detail placement
a. Area recovery
b. Congestion driven
c. Time driven
PLACEMENT OPTIMIZATION:
In optimization tool will optimize DRV’s and setup timing
Here we will not see hold because clock is ideal.
Checks in placement: Cells legalizationUtilization ,Area ,Timing & Congestion
clockdesign
optDesign -postCTS
clockDesign -specFile leon_cts.spec ## CTS is done
reportClockTree -postCTS ## checks ID & skew values
[ max : skew -186.8ps (required - 160ps) ; min : skew - 66.5ps (required – 320ps) ]
checkPlace ## [ placed – 41227, Unplaced – 0, placement Desnsity – 66.40% ]
timeDesign -postCTS # checks setup timing before optimization ( 42 paths are violated )
timeDesign -postCTS -hold ## checks Hold timing before optimization ( 4 paths are violated )
describeCongestion ## gives congestion related information
reportCongestion –overflow ##in detail congestion reports are given
report_power ## checking power
report_area ## checking Area
CHECKS IN CTS:
1. Timing numbers
2. Utilization numbers
3. Congestion
4. All cells should legalize
ROUTING:
INPUTS:
CTSdatabase (.v, .lib, .sdc, )
Physical libraries
Technology LEF file / rule deck file
Captables
GOAL: We need to interconnect all the nets without leaving shots and Spacing violations.
STEPS INVOLVED IN ROUTING:
1. Global Routing
2. Track Assignment
3. Detailed Routing
GLOBALROUTING: Router breaks the routing portion of the design into rectangles called Gcells and assigns signalnets to
Gcells. The global router attempts to find shortest path through gcells but does not make actual connection or assign nets to
specific nets and to specific track within Gcell.
TRACKASSIGNMENT:In this step the nets are properly assigned on tracks. p.shivaram 9703114680
DETAILED ROUTING: Nanoroute follows global routing plan and lays down actual wires that connect pins to their
corresponding nets.It creates shorts and opens or spacing violations rather than leaving unconnected nets.
We can route detailed routing on entire design, a specified area of design on selected nets.
Router runs SEARCH AND REPAIR ROUTING during detail routing.It locates shorts and opens and spacing violations so, it
reroutes the affected area to eliminate violations.
CHECKS:
1 .Verify connectivity
2. Verify geometry
3. timing numbers
4. utilization numbers
5. All cells should legalize
6. Congestion
Commands:
RouteDesign
optDesign –postRoute
routeDesign ## routing process is done
verifyGeometry ## checking shorts ( 992 violations )
verifyConnectivity ## checking opens (160 violations )
timeDesign -postRoute ## setup analysis ( 20 paths are violated)
setAnalysisMode –analysisType onChipVariation
timeDesign -postRoute -hold ## hold analysis ( 11176 paths are violated)
checkPlace ## (placed 41258, placement Density – 66.43%, glitch violations - 0)
describeCongestion ## gives congestion related information
reportCongestion –overflow ##in detail congestion reports are given
report_power ## checking power
report_area ## checking Area
17. In power planning for rings and stripes which metal layers used and why?
Ans: For rings and stripes we use top metal layers because for top metal layers we have low resistivity.
18. Can we place cells between the space of IO and core boundary?
Ans: No, we cannot place cells between the space of IO and core boundary because in between IO and
core boundary power rings will be placed and we may see routing issues.
19. How did you placed standard cells with command and tool?
Ans: command: placeDesign
Tool: place →place standard cells
20. what type of congestion you seen after placement?
Ans: 1. Congestion near Macro corners due to insufficient placement blockage.
2. Standard cell placement in narrow channels led to congestion.
3. Macros of same partition which are placed far apart can cause timing violation.
4. Macro placement or macro channels is not proper. p.shivaram 9703114680
5. Placement blockages not given
6. No Macro to Macro channel space given.
7. High cell density
8. High local utilization
9. High number of complex cells like AOI/OAI cells which has more pin count is placedtogether.
10. Placement of std cells near macros
11. Logic optimization is not properly done.
12. Pin density is more on edge of block
13. Buffers added too many while optimization
14. IO ports are crisscrossed; it needs to be properly aligned in order.
21. what are the physical cells?
Ans: End Cap cells:
1. These cells prevent the cell damage during fabrication.
2. Used for row connectivity and specifying row ending.
3. To avoid drain and source short.
4. These are used to address boundary N-Well issues for DRC cleanup.
Well Tap cells:
1. These are used to connect VDD and GND to substrate and N-Well respectively because it
results in lesser drift to prevent latch-up.
2. If we keep well taps according to the specified distances, N-Well potential leads to proper
electrical functioning.
3. To limit the resistance between power and ground connections to wells of the substrate.
De-cap Cells: They are temporary capacitors which are added in the design between power and ground rails
to counter the functional failure due to dynamic IR drop.
1. To avoid the flop which is far from the power source going into metastable state.
Spare cells: Spare cells will be used to implement ECO after BaseTape Out & Before Metal-Tape
Out. If any bugs are reported/found after the tape-out, we can use these spare cells to fix the bugs.
The key to having spare cells in your design is that you only need to change the metal layers to
rewire the logic and fix any bugs. This means you only need to pay for new metal masks, thus
saving money. The Spare Cell Input pins must be tied to VSS and output pins left floating. Spare
cells are nothing but standard cells and are placed randomly across the chip for later use.
IO buffers: Signal strengthening/slew rate improvement
Tie cells: Tie cells are used to protect the std cells from power and ground bounces &
Tie high cell connect gate to VDD , Tie low cell connect the gate to VSS .
Filler Cells:To fill the empty space and provide connectivity of N-wells and implant layers.
22. Tell about Non Default Rules?
Ans: Double width and double space.
After PNR stage if u will get timing /crosstalk/noise violations which are difficult to fix at ECO
stage we can try this NDR option at routing stage.
USAGE OF NDRs and Example:
When we are routing special nets like clock we would like to provide more width and
more spacing for them. Instead of default of 1unit spacing and 1unit width specified in tech
file;But NDR having double spacing and double width .When clocknet is routed using NDR it has
better Signal integrity, lesser crosstalk,lessernoise,but we cannot increase the spacing and width
because it effects the area of the chip.
HOLD: Minimum time required for data stability after the clock edge.
24. Can we do setup check at placement?
Ans: Yes, we will check setup in placement stage, where as we won’t bother about hold because clock is
ideal in placement stage.
2. Back end or Physical design- After logic design and front end verification, in order to tape-
out, the RTL abstraction is converted in form of transistors. They need to be optimised for low
area, power and quality. This is physical or say analog design.
p.shivaram 9703114680
32. Setup calculation?
Where require time= clock period+capture clock path latency – library setup – setup uncertainty
Arrival time= launch clock path latency + clock to Q delay + comb delay
Hold = arrival time – required time
Where require time= capture clock path latency+library hold +hold uncertainty
Arrival time = launch clock path latency + clock to Q delay + comb delay
38. How many master and generated clocks in your design?
Ans: 2master clocks and 1 generated clock
It does not require any feedback. It simply outputs the It involves feedback from output to input that is stored
input according to the logic designed. in the memory for the next operation.
Used mainly for Arithmetic and Boolean operations. Used for storing data (and hence used in RAM).
Logic gates are the elementary building blocks. Flip flops (binary storage device) are the elementary
building unit.
Independent of clock and hence does not require Clocked (Triggered for operation with electronic
triggering to operate. pulses).
41. Why top layers for power, below layers for std. cells and middle layers for clock?
Ans: Top metals layers: The resistivity of top metal layers are less and hence less IR drop is seen in
power distribution network. If power stripes are routed in lower metal layers this will use good amount of
lower routing resources and therefore it can create routing congestion.
Middle metal layers: Middle routing layers such as 4,5 and 6 tend to have the same characteristics so the
clock can be more predictable on those layers .Also ,fewer vias are required to connect to the metal to
clock pin on the flop. It also require to metal layers but they are already reserved for power and GND.
Lower metal layers: Std cell require less power it will be available in lower metal layers. And some std
cell are made up of lower metal layers. So no need to connect vias between std cell pins and metal layers.
• Clock name
• Clock period
• Max and min delay
• Max skew
• Sink max tran
• Buffer max tran
• Clock buffers and clock inverters information
• Exclude pin
• Through pin
• Information about Metal layers used
• Leaf route type
45. What is the difference between normal buff & inverter and clock buff & clock inverter?
Ans: compare to normal buffers & inverters clock buffers & inverters have equal rise and fall time.
Ans:
p.shivaram 9703114680
48. How did you open the prime time
pt_shell
50. If PD team has generated 10 spefs after routing, which spef will you ask for?
Worst RC corner spef
51. What are all the commands used in P&R flow
A.
Inputs:
set_init_verilog
set_init_leffile
set_init_mmmc
set_init_pwrnet
set_init_gndnet
init_design
Sanity Checks:
checkNetlist
checkDesign -physicalLibrary
checkDesign –timingLibrary
Floor Planning:
checkPinAssignment
addEndCap –preCap FILL8 –postCap FILL8
addWellTap –cell FILL8 –cellInterval 40
checkDesign –floorplan
Power Planning:
globalNetConnect
addRing
addStripe
sRoute
Placement:
placeDesign
setPlaceMode
optDesign –preCTS
report_timing
CTS:
createClockTreeSpec
clockDesign
optDesign –postCTS –hold
Cross talk delay: When w2 signal is having a transition, this transition time can be
affected by the signal transition at w1. If the transition at w1 is in the same direction as
w2 signal transition, it will make w2 signal transition slower. ie,. the net delays of the
victim net will change with respect to the signal transition in the aggressor net, this
should be taken care in the timing analysis for proper timing closure. But keep in mind,
that the victim net will get affected, only if the transitions in both the nets happen in the
p.shivaram 9703114680
same timing window. Also the delay change in the victim depends on the type of
transition (rise or fall) in the aggressor net. See the below figures for more understanding.
62. Create a clock with 10ps period and rise edge 4ps and fall edge 8ps
create_clock [get_ports{clk}] –name pclk –period 10 –waveform{4 8}
p.shivaram 9703114680
65. What type of library did you use in your design
Fast Lib & Slow Lib
66. What are asynchronous circuits
The circuit in which the change in the input signals can affect memory
elements at any instants of the time is call asynchronous circuit
In this circuit, clock is absent and hence the state changes can occur
according to delay time of the logic.
71. While giving the inputs to the floorplan, which LEF(tech lef
or cell lef) wehave to give first?
First, we give the tech lef. Whenever the tech lef is loaded after all other
inputs areloaded
81. What happens if in my design have a same metal width for all 9 layers
If the width is high the current flow is high. Due to high current flow standardcells will damage.
If the width is low then we see more IR drop
82. How cell delay depends on channel length
If the channel length is more than the cell delay is more and vice versa
Some certain timing paths are not real (or not possible) in the actual functional operation
of the design. Such paths can be turned off during STA by setting these as false paths. A
false path is ignored by the STA for analysis.
85.At the placement stage what are all the different types of congestion you see? And
how you overcome that congestion in your design? Or Explain different techniques to
overcome the congestion?
A1. Using hard blockages in case of congestion due to notches.
2. Using partial blockage, in case of high cell density.
3. Using cell padding, in case of congestion due to pin density.
86.At placement stage, if we have the utilization of 95%, can we move forward and why?
A . No, we should not proceed with that utilization. We have to check the reason for the jump
in the utilization number.
87.What are the timing checks we do after placement?
A. DRVs and setup checks
88.If the design had violated by 500ps of setup then will you move forward and why?
A. No, we have to fix the setup violation. If we proceed at this stage by the next stage the setup
will be violated more there may not be chance to fix.
p.shivaram 9703114680
89.What is the skew?
a. Skew is the difference in timing between two or more signals, maybe data, clock or both.
Clock skew is the difference in arrival times at the end points of the clock tree. Clock latency
is the total time it takes from the clock source to an end point.
Skew is the difference between capture flop latency and launch flop latency.
90.Explain setup and hold with equation.
b. Setup: The minimum time before the active clock when the data input must remain
stable is called the setup time.
Setup time = Required Time - Arrival Time
Required time = Clock period + capture clock latency – lib setup – setup uncertainity
Arrival time = launch clock latency + C to Q delay + combo delay
Hold: The minimum time the data input must remain stable just after the active edge of the
clock.
Hold time = Arrival Time – Required Time
Required time = Capture clock latency + lib hold time
Arrival time = launch clock latency + C to Q delay + combo delay
91.If launch clock is 20ns and capture clock is 10ns then where do we check the setup
and hold? and vice versa?
A.
93.What is antenna violation? On what basis antenna violation will affect the cell.
It is also called “Plasma induced gate effect damage”. It will occur at manufacturing
stage. It is a gate damage that can occur due to charge accumulation on metals and
discharge to a gate through gate oxide. These are normally expressed as an
allowable ratio of metal area to gate area greater than allowable area.
p.shivaram 9703114680
94.What is cloning?
A.
95.On what tool and technology, you have worked? Have you ever seen PT and calibre tools?
ICC2 and 6&7nm ------yes
97.What will you do if your design has setup violation and how will you meet setup in
such cases where there are no margins available?
We can meet the setup violations by adding buffers and downsizing the buffers present in
the clock path.
102.Both nets are having same drive strength, but voltage is different, capacitance is
occurred or not?
yes, the capacitance occurs due to different voltage levels.
p.shivaram 9703114680
103.Both nets are having same voltage, capacitance is occurred or not?
No, the capacitance does not occur because the voltage levels are same.
104.What is cross coupling capacitance? Why it occurs?
The capacitance formed between two different nets in which one of the net is having
high switching activity and other net is containing low level signal. The capacitance is
formed because of different voltage levels in the nets and different switching.
118.What is Dutycycle?
Ans: A duty cycle is the fraction of one period in which a signal or system is active known as
Duty cycle.
p.shivaram 9703114680
121.How many spef files used in your project?
124. Draw a clock waveform. What is the time period of a clock with
1GHZ frequency?
Ans:
126 .If setup checks and hold checks not done what happened? If it necessary why?
Ans: Timing doesn’t meet if we do not do setup and hold check.
p.shivaram 9703114680
127.What are opens and shots? How u fix?
128.What is FV?
Ans: FV (Or) LEC:
It checks the functionality of the netlists
LEC Flow :
Read lib
Read golden netlist
Read revised netlist
Mapping(Names are mapping here)
Comparing
129.What kind of errors u seen in
LEC?Ans: 1. Unmapped names
• The congestion which is occurring at every g-cell in the design is called global
congestion
• Due to this global congestion we are facing the improper routing (it will generate
opens)
• This is mainly occurred due to improper placement of macros and bad floor plan
To reduce the global congestion change the core size(increase area) and fixing the proper
placement area for std cells.
Crosstalk Noise:
If aggressor switches victim is constant known as Crosstalk noise.
If bump is above 50% it goes to metastable state(or) functionality failure.
p.shivaram 9703114680
Crosstalk Delay:
If aggressor and victim both in same direction delay decreases.
If aggressor and victim both in opposite direction delay increases.
Ans:
A coupling capacitor is a capacitor which is used to couple or link together only the AC
signal from one circuit element to another. The capacitor blocks the DC signal from entering
the second element and, thus, only passes the AC signal.
GOALS OF CTS :
To minimize skew and insertion delay to build the clock tree.
1. To minimize the logical DRCs.
2. Balancing the skew.
3. Minimum Insertion delay
4. To distribute a clock from Clock port to Clock pin
INPUTS OF CTS:
1. SDC
2. SPEC FILE
3.PLACEMENT DATABASE
CHECKS IN CTS:
1. Timing numbers
2. Utilization numbers
3. Congestion
4. All cells should legalize
139. If macros are placed at the center, what are the problems we get?
Congestion, net lengths will be more due to detouring, timing issues, IR drop.
140 .How will you give spacing between macros?
Spacing =2* (no. of pins * pitch of routing layer)/no. of available layers in the preferred
direction
141. To avoid congestion in between macros, what are the cares we take?
proper channel spacing should be provided between macro to macro and the halo should be
provided around the macro, there should not be crisscrossing between macro to macro.
142. What are the types of blockages?
Placement blockages:
Hard blockage: It will not allow any cells inside the blockage.
Soft blockage: It will not allow std cell but it will allow buffers and inverters during
optimization.
Partial blockage: It will allow only certain specified percentage of cells. Routing blockage: It will
allow only some specified metal layers inside the blockage.
143. If we place soft blockage in between the macros it will allow the buffers and inverters,
in that, then how power will get to that cells?
Stripe should be added to get the power to those cells.
145.Inputs of placement?
Design database upto power plan, lib, sdc, scandef
Routing:
In Routing stage I worked on the 4 corners, 2 setup worst corners and 2 hold worst corners.
Setup:
PVT Corners RC Corners
0.720V, -30C, 1Process CWorst
0.720V, 125C, 1Process CWorst
Hold:
PVT Corners RC Corners
1.05V, -30C, 1Process CBest
1.05V, 125C, 1Process CBest
Setup:
Setup Time = Required Time – Arrival Time
Arrival Time = Launch Clk + Launch Clk Latency + TCQ + Tcombo
Required Time = Capture Clk + Capture Clk Latency – Tsetup –Tuncertainty + CRPR
Hold:
Hold Time = Arrival Time – Required Time
Arrival Time = Launch Clk + Launch Clk Latency + TCQ + Tcombo
Required Time = Capture Clk + Capture Clk Latency +Thold +Tuncertainty – CRPR
166. In MultiCycle path which constraints having START and why?
When the launch clock is fast and capture clock is slow then those constraints will have START
option, because the changes should be made in the START only.
p.shivaram 9703114680
PT FLOW:
1. READ LIB
2. READ VERILOG
3. LINK
4. READ SDC
5. CHECK TIMINGEK TIMING
6. READ PARASITICS
7. REPORT ANNOTATED PARASITICS
8. UPDATE TIMING
9. REPORT QOR
10. REPORT TIMIN
171. What are GBA and PBA?
Graph Based Analysis: In GBA the delays are calculated based on the worst slews. It is more
pessimistic analysis. It takes less run time compared to PBA.
Path Based Analysis: In PBA the delays are calculated based on the actual slews. It is less
pessimistic compared to GBA. It takes more run time.
172. What type of reasons for Max Tran violations?
The reasons for Max Tran violations
When long nets are present
When the driver cell load capacitance is high
When driver cell drive strength is less
173. How to fix Crosstalk?
Fixes of crosstalk are:
Upsize the Victim driver cell
Downsize the aggressor driver cell
Spacing between the two nets
Shielding
Inserting Buffer at the victim net
Net deteriorate
174. What are the Reasons for 2w 2s in clock network?
The reason for double width is to avoid the EM violations and double spacing is to avoid crosstalk.
175. What is not annotated nets?
The nets which do not contain RC values are called as not annotated nets.
176. How to fix DRV’S?
Max Tran:
Upsize the cell
Insert a buffer when the net is dominant
Buffer can be replaced with Inverter pair.
Move the cells nearer
Vt Swapping from HVT to LVT
Metal width increases
Metal jogging from lower to higher metals.
Max Cap/ Fanout:
Upsize the cell
Load splitting
Cloning
Swapping
177. What are the inputs for Tweaker and explain?
Inputs for Tweaker are
PT sessions
LEF
DEF
p.shivaram 9703114680
PT sessions:
STA Basic
#Include all libraries –technology and IP model ( libraries set link_path“.db” )
#Read all gate-level design files (read_verilogfull_chip.v)
#Read libraries and link the design (link_designFULL_CHIP)
#Read the SDF (read_sdf–analysis_typebewc–max_typesdf_max–min_typesdf_min)
#Do all sort of reporting
report_design
report_clock
report_annotated_delay
report_annotated_check
check_timing
report_timing
p.shivaram 9703114680
LINUX BASICS
mkdir – make directories
Usage: mkdir [OPTION] DIRECTORY...
eg. mkdir prabhat
• ls – list directory contents
ls -lrt
Usage: ls [OPTION]... [FILE]...
eg. ls, ls l,
ls prabhat
• cd – changes directories
Usage: cd [DIRECTORY]
eg. cd prabhat
pwd print
name of current working directory
Usage: pwd
• vim /gvim – Vi Improved, a programmers text editor
Usage: vim [OPTION] [file]...
eg. vim file1.txt
cp – copy files and directories
Usage: cp [OPTION]... SOURCE DEST
eg. cp sample.txt sample_copy.txt
cp sample_copy.txt target_dir
mv – move (rename) files
Usage: mv [OPTION]... SOURCE DEST
eg. mv source.txt target_dir
mv old.txt new.txt
• rm remove
rm-rf forcible removed files or directories
p.shivaram 9703114680