BALAKRISHNAN-THESIS
BALAKRISHNAN-THESIS
BALAKRISHNAN-THESIS
A Thesis
by
MASTER OF SCIENCE
December 2010
A Thesis
by
MASTER OF SCIENCE
Approved by:
December 2010
ABSTRACT
power systems and is due to the complex impedance of the loads and transmission lines.
reduction of power transfer capability, and the potential for the onset of system-wide
network by supplying or consuming VARs from points near the loads or along the
techniques directly at the loads by locally supplying VARs. Typical loads such as motors
and other inductive devices operate with lagging power factor and consume VARs;
required VARs. However, capacitors are known to have reliability problems with both
catastrophic failure modes and wear-out mechanisms. Thus, they require constant
monitoring and periodic replacement, which greatly increases the cost of traditional load
compensation techniques.
iv
This thesis proposes a reactive power load compensator that uses inductors
(chokes) instead of capacitors to supply reactive power to support the load. Chokes are
regarded as robust and rugged elements; but, they operate with lagging power factor and
thus consume VARs instead of generating VARs like capacitors. A matrix converter
interfaces the chokes to the ac network. The matrix converter is controlled using the
Venturini modulation method which can enable the converter to exhibit a current phase
reversal property. So, although the inductors draw lagging currents from the output of
the converter, the converter actually draws leading currents from the ac network. Thus,
with the proposed compensation technique, lagging power factor loads can be
The detailed operation of the matrix converter and the Venturini modulation
method are examined in the thesis. The application of the converter to the proposed load
PSIM environments are presented that support the analysis. A digital implementation of
control signals for the converter is developed which demonstrates the practical feasibility
of the proposed technique. The simulation and hardware results have shown the
To my family
vi
ACKNOWLEDGEMENTS
I would like to express my deep sense of gratitude and regard to my advisor and
mentor, Dr. Robert Balog. His invaluable guidance and unstinted support have made this
work possible. I would like to thank him for being so encouraging, understanding and
patient at all times. I am happy to have had the opportunity to pursue research under his
guidance and am grateful to him for the many things I have learnt during the course of
my work.
My sincere thanks are due to Dr. Hamid Toliyat, Dr. Shankar Bhattacharyya and
Dr. Won-jong Kim for sparing their valuable time to serve on my defense committee. I
would also like to thank Dr. Prasad Enjeti for his initial guidance on my research.
specially thank Mehran, Daniel and Somu for their assistance with my research work.
completion of this work. I owe my gratitude to them for always believing in me and
NOMENCLATURE
TABLE OF CONTENTS
Page
DEDICATION .......................................................................................................... v
ACKNOWLEDGEMENTS ...................................................................................... vi
NOMENCLATURE.................................................................................................. vii
LIST OF FIGURES................................................................................................... xi
CHAPTER
I INTRODUCTION................................................................................ 1
CHAPTER Page
V CONCLUSION ..................................................................................... 80
REFERENCES.......................................................................................................... 82
APPENDIX A ........................................................................................................... 87
APPENDIX B ........................................................................................................... 99
LIST OF FIGURES
FIGURE Page
1 Thyristor-switched capacitor...................................................................... 4
FIGURE Page
FIGURE Page
configuration) ............................................................................................. 58
46 Four-step commutation between S11P, S11N, S12P and S12N when io1
> 0............................................................................................................... 62
47 Four-step commutation between S11P, S11N, S12P and S12N when io1
< 0............................................................................................................... 62
FIGURE Page
network voltage, showing that VAR compensation has taken place ......... 64
MC.............................................................................................................. 67
FIGURE Page
LIST OF TABLES
TABLE Page
waveforms .................................................................................................. 42
CHAPTER I
INTRODUCTION
complex impedance of typical ac power system loads and transmission lines. Even
though its presence in the power system network is fundamental, it has several
transient) and lowered power transfer capability. It also leads to increased transmission
losses, inefficient performance of power system equipment and the potential for the
demands of the loads), if not properly compensated and controlled [1]. Reactive power
either the transmission level, known as transmission compensation, or near the load,
specified voltage at different buses by injecting variable amounts of reactive power into
the transmission lines. As a result, the stability limits and the power transfer capability
are improved and tighter system voltage control is achieved. Transmission compensation
____________
This thesis follows the style of IEEE Transactions on Power Electronics.
2
is performed at the ac network level in the system and does not address the load-side
source of VARs in the system - the reactive load. Load compensation, on the other hand,
is aimed at applying power factor correction techniques directly at the load to locally
supply VARs required by the loads. Consequently, the effective system load – the
original intended load together with the compensator, appear as unity power factor loads
that do not draw VARs from the source. So, transmission losses and required current
carrying capacity of lines are minimized. Further, the power transfer capability and
stability limits of the system are not deteriorated by the load and voltage instability is
simplified. Large scale customers such as industries are often penalized for drawing
excessive reactive power from the ac network; so they are motivated to employ load
compensation have been proposed in the past and are summarized below [2-5]. Load
Initially, mechanically switched capacitor and reactor banks were used to provide
lagging and leading reactive power to support the power system. Depending on the VAR
requirement, the banks are switched in and out of the system through mechanical relays
3
and circuit breakers. This approach is fundamentally discrete and the compensation
solution does not offer continuously variable reactive power support. It also suffers from
other drawbacks including slow speed of response, lifetime wear-out of the switch
compensation.
2. Synchronous condensers
By varying the excitation field, the rotating loads can be made to supply VARs (under-
short-term overload capability and harmonic-free operation. However, they suffer from
major disadvantages including high installation time and costs, maintenance costs,
mechanical losses and slow response time. Further, they contribute to high system fault
subsequently replaced by the static VAR compensator (SVC) which consists of banks of
capacitors and reactors that are switched on/off or phase-controlled using thyristors. The
and out of the ac power system using a pair of anti-parallel thyristors as shown in Fig. 1.
The switching in and switching out actions are carried out at instants when the capacitor
voltage equals the positive or negative peak of the ac line voltage, to prevent switching
transients. This introduces a maximum response delay of 1 cycle when switching in and
½-cycle when switching out the capacitor bank [2, 5]. A current-limiting series reactor is
used to prevent any likelihood of switching transients. The reactor and capacitor form a
notch filter to prevent resonance with the power system current harmonics. TSC banks
may be connected in wye or delta configurations, though the latter is preferred when
A TSC has good response time and low maintenance and installation costs as it
has no moving parts. It also has negligible harmonics when switched appropriately.
However, a TSC cannot provide continuous VAR control as it is essentially just a solid-
state version of the mechanically switched capacitor bank. As each TSC bank requires a
pair of thyristors, it is uneconomical especially at high voltage levels due to the cost of
high voltage thyristors and their gate drive circuits. The peak inverse voltage of each
thyristor is twice the ac network voltage peak. Protective equipment must be installed to
prevent thyristor failure due to line voltage transients and fault currents. Due to these
shown in Fig. 2. The effective reactance of the TCR and so, the reactive power drawn by
it, are controlled by varying the firing angles of the thyristors. As the firing angle
increases, the effective inductance of the TCR increases. A filter is used in parallel with
the TCR as the gating action generates low-order odd harmonics. In three-phase
arrangements, the reactors of the TCR are connected in delta to remove unbalance, while
With fast response times, acceptable cost and the ability to balance loads, the
adjustments can be made only once per ½-cycle. The other disadvantage of the TCR is
that the reactor must be of a rating comparable to that of the capacitor to be able to
converters that behave as ideal ac sources such as the voltage-source and current-source
inverters shown in Fig. 3 and Fig. 4, respectively. The converters are connected to the ac
power system network through reactors as shown in Fig. 5. Depending on the relative
magnitudes of the ac network voltage E and the converter voltage V, variable amounts of
reactive power are injected into the network. When |E| > |V|, the converter injects
lagging VARs into the ac network and vice versa [2, 5].
time. The high frequency switching harmonics generated can be easily filtered. More
importantly, the STATCOM does not require large number of reactive elements, thus
reducing the cost ad size of compensation techniques. Since the STATCOM is a force-
(IGCTs). However, these switches are not yet developed for high voltage ratings. To
overcome this issue, multi-level converters are used [2]. The STATCOM is able to
However, it does not have the high overload capability of the rotating synchronous
machine.
be used for reactive power compensation [5] in a way similar to inverters, as shown in
Fig. 6. As the converter is made to draw only reactive or harmonic power, it is sufficient
to use passive tank circuits at the input of the converter. While naturally commutated
cycloconverters can inject only leading VARs into the system, force-commutated
STATCOM based on the concept of “power doubling” was proposed by Gyugyi [5] and
compensation, they did not gain much popularity as they required a very large number of
thyristors (36 switches for three-phase converter) which needed to be switched at very
high frequencies (approximately ten times the line frequency), which was not possible at
that time.
Many typical loads such as motors and inductive loads operate with lagging
power factor, which means that they consume VARs. So, load compensation techniques
employ capacitor banks to locally supply the VARs needed by the load. However,
capacitors are known for being highly unreliable components with both catastrophic and
wear-out failure mechanisms. With the extensive use of power electronics in VAR
electrolytic dc capacitors are widely used due to their advantages of high energy density,
reasonable voltage ratings and low cost per unit energy. But, 60% of power electronic
failures are attributed to them [7]. They have a short life-span (generally, less than
10,000 hours at rated conditions) and must be frequently replaced. The reliability issues
capacitor is an aluminum foil that is coated with aluminum oxide, the dielectric which is
formed through chemical reactions. The cathode consists of a paper strip impregnated
with an electrolyte, which is in contact with another aluminum foil. Several layers of
i. Early failures: They occur during the first year of energizing the capacitors. They
ii. Random failures: These less probable failures occur due to operating conditions
iii. Wear-out failures: These are attributed to wear-out and aging of the capacitor
discussed in detail.
These failures are shown as a function of time in the bathtub curve of Fig. 8.
Early and random failures are attributed to catastrophic mechanisms including open-
circuit, short-circuit, open vent, increased leakage current and electrolytic leakage [10].
‘partial discharge’ which can be defined as the incomplete charge transfer (discharge)
occurring across the space (such as gaps) between the electrode and the electrolyte [11].
which decreases the corona inception voltage (breakdown voltage) below the voltage
temperatures, arcing, bulging and its consequent failure. The factors contributing to the
series resistance (ESR) and an effective series inductance (ESL) along with the capacitor
importantly, by an increase in the ESR. Several techniques have been developed to use
the ESR as a means to monitor the health of electrolytic capacitors and accurately detect
with their catastrophic failure modes and wear-out mechanisms, require constant
monitoring and periodic replacement. Ac capacitors also suffer from similar reliability
problems. Thus, capacitors greatly increase the maintenance and operational costs of the
This thesis proposes a reactive power load compensator that uses inductors
(chokes) instead of capacitors to supply reactive power to support the load. Inductors are
regarded as robust and rugged elements and are not subject to service life-limiting failure
mechanisms. However, it is also well known that they have lagging power factor and
consume VARs, which is the opposite reactive power behavior of the capacitor. The
proposed load compensator interfaces the inductive choke element to the ac network
the Venturini modulation technique which has the advantageous property that it can
enable the MC to invert the phase of the current from the input to the output [14]. So,
although the inductive choke draws lagging currents from the output of the converter,
through this current phase reversal property of the modulation technique, the converter
draws leading currents from the ac network. Thus, with the proposed compensation
technique, lagging power factor loads can be compensated without using capacitor
banks. This thesis will examine the fundamental relationships of the matrix converter
E. Overview of Thesis
The matrix converter and its historical background are introduced in Chapter II.
Detailed operation of the converter using the Venturini modulation method is presented,
and the derivation of the current phase reversal property is examined. Simulation results
of the converter in MATLAB and PSIM environments are produced and discussed in
detail.
III. The application of the current reversal property to the compensator is justified. The
Chapter IV. A possible solution to the hardware set-up of the entire system is explored.
15
Control signals of the system, generated using a DSP and a CPLD, are presented. The
Conclusion drawn from this thesis are presented in Chapter V. Future work is
also discussed.
Portions of Chapters II, III and IV have been previously published in [15] at the
CHAPTER II
ability to transform the magnitude, phase angle and frequency of the input voltage
without using intermediate energy storage elements. An m/n matrix converter results in
energy conversion between ‘m’ output phases and ‘n’ input phases. As 3-phase power is
most widely used, the 3/3 matrix converter (MC) will be considered hereafter. The basic
layout of the MC, shown in Fig. 11, illustrates that each of the output phases is
energy storage elements and the switches are bi-directional (bi-lateral) – capable of
[16], but a detailed treatment was first carried out by Gyugyi and Pelly in [16], where
based on the semiconductor device thyristor and were broadly classified as naturally
wide range of operational flexibility, their widespread usage was hindered by the large
requirement of thyristors (36 switches for 3-phase applications) and the difficulty of
ten times the line frequency). With developments in power transistor technology, interest
ac-ac converters was initiated by the Venturini and Alesina [14, 18] in which they
introduced the concept of the matrix converter. They developed and analyzed the
single mathematical transfer function (direct modulation method). Following the work of
[17].
waveforms. It has a maximum attainable voltage gain of 0.5, which could be increased to
0.866 by the addition of common-mode voltages to the output voltages [19]. But, this
transfer function, were analyzed in [20]. These methods were able to increase the voltage
both [17]. Space vector modulation methods were developed in [21-24] with the aim of
achieving the superior performance of space vector based inverters in MCs as well. But,
even these methods have a limited voltage gain of 0.866 and offer similar performance
operated such that it has the advantageous current phase reversal property, which is
fundamental to the operation of the proposed reactive power load compensator. The
maximum voltage gain of 0.5 is not viewed as a limitation in this application of a matrix
converter as the output terminals of the MC are internal nodes of the VAR compensator
and do not connect to any load such as a motor, where the output voltage is important.
Thus, other modulation methods need not be explored with the aim of improving the
voltage gain.
A block diagram of the MC indicating the input and output currents and voltages
is shown in Fig. 12. The line-neutral input voltages of the MC are given by vi1,LN, vi2,LN
and vi3,LN, while the line-neutral output voltages are denoted by vo1,LN, vo2,LN and vo3,LN.
The input and output line currents of the MC are given by ii1, ii2, ii3 and io1, io2, io3
respectively. While the amplitudes of the input and output voltages are denoted by Vi
19
and Vo respectively, the phase angles are given by Φi and Φo respectively. The input and
⎡ vo1, LN ⎤ ⎡ vi1, LN ⎤
⎢ ⎥ ⎢ ⎥
⎢vo 2, LN ⎥ = H × ⎢vi 2, LN ⎥ (1)
⎢⎣vo3, LN ⎥⎦ ⎢⎣vi 3, LN ⎥⎦
⎡ H 11 H 12 H 13 ⎤
where H = ⎢⎢ H 21 H 22 H 23 ⎥⎥ (2)
⎢⎣ H 31 H 32 H 33 ⎥⎦
The modulation matrix element Hxy is the transfer function between the xth output phase
and the yth input phase. Since there are no energy storage elements in the MC and
assuming that there is no power loss in the MC, the instantaneous three-phase powers are
equal on the input and output sides. Consequently, the relationship between the input and
⎡ ii1 ⎤ ⎡ io1 ⎤
⎢i ⎥ = H T × ⎢i ⎥ (3)
⎢ i2 ⎥ ⎢ o2 ⎥
⎢⎣ii 3 ⎥⎦ ⎢⎣io 3 ⎥⎦
modulation matrix for the MC [14] is given by (4-6), the detailed mathematical
frequency, phase angle and amplitude in relation with the input voltage parameters, the
⎡ 1 + 2q CS (0 ) 1 + 2q CS (− 2π 3) 1 + 2q CS (2π 3) ⎤
1 ⎢
H = α 1 ⎢ 1 + 2q CS (2π 3) 1 + 2q CS (0 ) 1 + 2q CS (− 2π 3)⎥⎥ +
3
⎢⎣1 + 2q CS (− 2π 3) 1 + 2q CS (2π 3) 1 + 2q CS (0 ) ⎥⎦
(4)
⎡ 1 + 2q CA(0 ) 1 + 2q CA(− 2π 3) 1 + 2q CA(2π 3) ⎤
1 ⎢
α 2 ⎢1 + 2q CA(− 2π 3) 1 + 2q CA(2π 3) 1 + 2q CA(0 ) ⎥⎥
3
⎢⎣ 1 + 2q CA(2π 3) 1 + 2q CA(0 ) 1 + 2q CA(− 2π 3)⎥⎦
CS ( x ) = cos(ω m t + x )
CA( x ) = cos[(−ω m + 2ωi )t + x ]
ω m = ω o − ωi
1
where α1 = [1 + tan(φ i ) ⋅ cot(φ o )] (5)
2
α 2 = 1 − α1
Vo
q=
Vi
The above equations are subjected to the constraints given by (6) to ensure that the
α1 ≥ 0
α2 ≥ 0 (6)
1
0≤q≤
2
Consider the following input voltages for the MC of Fig. 12 such that the input
vi1, LN = Vi cos(ωt )
vi 2, LN = Vi cos(ωt − 2π 3) (7)
vi 3, LN = Vi cos(ωt + 2π 3)
Further, let the desired output voltages be in phase with the input voltages and have the
ω o = ωi = ω
(8)
φo = φi = 0o
CS ( x ) = cos( x )
CA(x ) = cos(2ωt + x )
ωm = 0 (9)
α1 = 0
α2 = 1
⎡ H1 H2 H3 ⎤
H = ⎢⎢ H 2 H3 H1 ⎥⎥ = HT (10)
⎢⎣ H 3 H1 H 2 ⎥⎦
22
where
1
H1 = (1 + 2q cos(2ωt ))
3
1
H 2 = (1 + 2q cos(2ωt − 2π 3)) (11)
3
1
H 3 = (1 + 2q cos(2ωt + 2π 3))
3
It can be seen that the modulation functions H1, H2 and H3 have twice the frequency
‘2ω’ as that of the input and output voltages. The term ‘q’, known as the modulation
index, is the ratio between the output and input voltage amplitudes and is a control
parameter of the modulation functions (5). The coefficient ‘1/3’ is used to limit the duty
cycle of the MC switches to 2/3, this maximum value being reached when one of the
other modulation functions becomes zero. The coefficient ‘2’ is used to ensure that the
output phases are never left unconnected even when one of the modulation functions
becomes zero. The ‘unity’ term asserts the same property when the required output
The modulation matrix of (10) and (11) must result in the MC operation as
described in (7) and (8). As verification, (10)-(11) and (7) are substituted into (1). The
resulting output voltages are obtained as in (12) and they are found to be in conformity
Let it now be assumed that the output currents have a phase angle Φ with respect to the
io1 = I o cos(ωt + φ)
io 2 = I o cos(ωt + φ − 2π 3) (13)
io 3 = I o cos(ωt + φ + 2π 3)
Substituting (10) and (13) into (3), the following input currents are obtained
ii1 = qI o cos(ωt − φ )
ii 2 = qI o cos(ωt − φ − 2π 3) (14)
ii 3 = qI o cos(ωt − φ + 2π 3)
Comparing (15) and (16), it is clearly seen that there is a reversal in the sign of the phase
angles of the output and input currents – the current phase reversal property. Similarly,
this property can be observed in all the phase currents by expressing them in the phasor
notation. Comparing the voltage and current expressions, it can be observed that while
the output currents lead the corresponding output voltages by Φ, the input currents lag
the corresponding input voltages by Φ. This implies that the current phase reversal
property evaluates to a power factor reversal between the input and output terminals as
both the input and output voltages have phase angles of 0 degrees. Thus, the modulation
24
matrix H which operates the MC with the special current phase reversal property has
been established.
The mathematical model of the MC presented in the previous section will now be
implemented in the switch matrix of Fig. 11. Switching functions which define the
on/off states of the switches as a continuous function of time are to be derived from the
modulation functions. The relationships between the input and output voltages and
⎡ vo1, LN ⎤ ⎡ vi1, LN ⎤
⎢ ⎥ ⎢ ⎥
⎢vo 2, LN ⎥ = S × ⎢vi 2, LN ⎥ (17)
⎢⎣vo 3, LN ⎥⎦ ⎢⎣vi 3, LN ⎥⎦
⎡ ii1 ⎤ ⎡ io1 ⎤
⎢i ⎥ = S T × ⎢i ⎥ (18)
⎢ i2 ⎥ ⎢ o2 ⎥
⎢⎣ii 3 ⎥⎦ ⎢⎣io 3 ⎥⎦
where the matrix element Sxy represents the switching function governing the switch
connecting the xth output phase and the yth input phase.
Comparing (2) and (19), the modulation function associated with each switching
function is easily inferred. As there are three basic functions H1, H2 and H3 in the chosen
25
⎡ S1 S2 S3 ⎤
S = ⎢⎢ S 2 S3 S1 ⎥⎥ (20)
⎢⎣ S 3 S1 S 2 ⎥⎦
It is assumed that the switches are operated at a frequency much higher than the input
and output frequencies such that their average behavior, given by their duty cycles over
each switching period, equals the modulation function values at each instant of time.
Thus, while the H matrix is a ‘low-frequency’ description of the MC, the S matrix
additionally includes a range of switching harmonics. To obtain the on-off times from
the duty cycle values (modulation functions), a suitable switching period Tdisc is obtained
by dividing the time period of the modulation functions into ‘N’ time intervals given by
2 π 2ω π
Tdisc = = (21)
N Nω
developed by applying a zero-order hold of time period Tdisc as shown in Fig. 13,
determine the switch duty ratios over each of the ‘N’ intervals. The ‘ON’ times of the
Tdisc
t1 [k ] = × (1 + 2q cos(2ωTdisc k ))
3
T
t 2 [k ] = disc × (1 + 2q cos(2ωTdisc k − 2π 3)) (22)
3
T
t 3 [k ] = disc × (1 + 2q cos(2ωTdisc k + 2π 3))
3
where 0 ≤ k ≤ N − 1
26
Within each kth interval, the switches governed by S1 are turned on for time t1, followed
by the switches governed by S2 and S3 which are turned on for times t2 and t3,
respectively, as shown in Fig. 14. Based on this pattern, the on-off states of the switches
can be obtained as a function of time, thus, completely defining the switching functions.
1
f sw = (23)
Tdisc
Fig. 13 implies that as the value of N is increased, the switching functions more
semiconductor switches with inherent bi-directional capability are not yet commercially
switch elements. Three possible realizations are illustrated in Fig. 15 [17, 25]. The diode
bridge type realization (Fig. 15(a)) requires only a single controlled switch but is
generally not practical due to the diode losses. The common-emitter (Fig. 15(b)) and
common-collector (Fig. 15(c)) configurations are more efficient realizations, but they
require two gate-drive circuits and careful commutation techniques to prevent converter
as it requires lesser number of isolated gate-drive power supplies than the common-
emitter configuration. For example, in the case of the MC, while the common-emitter
requires only 6 supplies. This reduced number of supplies is also an advantage in view
of the isolation distance between independent voltage potentials within the MC.
E. Simulation Results
The system shown in Fig. 16 has been considered for simulation study. The MC
Parameter Value
The operating parameters of the MC are summarized in TABLE 1. From (21) and (23), it
is seen that the resulting switching frequency is 12 kHz. Line filters must be used
between the MC and the source to eliminate the high order harmonics in the input
currents. They have been excluded from the initial study to obtain a better understanding
of the MC operation. The effect of filters on the system will be considered later in this
chapter.
1. Simulation in MATLAB
The ‘ON’ times of the switches are obtained using (22). The switching functions,
which are vectors of 1’s and 0’s, are derived by expressing these ‘ON’ times in terms of
a suitable time step. The simulated modulation and switching functions are shown in Fig.
17. The switch-averaged function, obtained by averaging the switching functions over
every switching period Tdisc, closely follows the corresponding modulation function.
This indicates that the switching function models the modulation function as expected.
30
The switching sequence followed in the Venturini technique is shown in Fig. 18 and is in
The line-neutral input and output voltages, obtained using (17) are shown in Fig.
19. The switching nature of the output voltages, which sequentially take the values of all
three input voltages, is apparent from the figures. It can be seen that the fundamental
components of the output voltages, obtained by using the Fast Fourier Transform (FFT),
are stepped down from the inputs by a factor of ‘q’ (0.3), and are in phase with the
The currents of the MC are shown in Fig. 20. The input currents are seen to be switching
between the output currents as indicated by (18). The fundamental components of the
input currents are stepped down by a factor of 0.3 when compared to the output currents.
33
More importantly, each input current has exactly the opposite phase as the corresponding
output current, as expected from (13) and (14). Thus, the Venturini modulation method
is seen to assert the unique property of phase reversal between the input and output
currents.
The fundamental components of the voltages and currents of one phase of the MC are
voltages are summarized in TABLE 2. Slight differences between the simulated and
expected values can be noted from the table. Also, a closer observation of Fig. 21 shows
that the input and output voltages are not exactly in phase, and the input and output
currents are not exactly out of phase. This deviation has been found to be attributed to
the modeling inaccuracy introduced by the Venturini method while deriving the
switching functions from the discrete-time modulation functions (Fig. 13).The difference
between the modulation function and its discrete version for a switching frequency of 12
Magnitude Phase
functions with greater accuracy as the zero-order hold period Tdisc is decreased. In other
words, as the switching frequency is increased by using larger values of ‘N’, the
switching functions will more closely model the modulation functions. The modeling
inaccuracy is depicted for a switching frequency of 1.2 kHz (N=10) in Fig. 23 and Fig.
24. In Fig. 24, the phase difference between the modulation function H1 and the
geometric mean of the discrete version of H1 is approximately 0.26 radians. The phase
difference in voltages of Fig. 23 is also approximately the same (0.32 radians). Thus, the
correlation between the modeling error of the Venturini method and the inaccuracy in
Fig. 24. Comparison between modulation and switching functions for switching
frequency of 1.2 kHz
The voltage and current waveforms for a switching frequency of 120 kHz (N=1000),
shown in Fig. 25, illustrate near-ideal behavior of the MC. Practically no difference
exists between the modulation function and its discrete function as illustrated in Fig. 26.
38
2. Simulation in PSIM
The system of Fig. 16 was implemented in PSIM as shown in Fig. 27. The
control logic for switch signal generation is provided in Appendix B. The line-neutral
Fig. 28. PSIM simulation - line-neutral input and output voltages of the MC
41
The input and output currents of the PSIM-simulated system are shown in Fig. 29.
The fundamental components of the MC voltages and currents are given in TABLE 3.
Comparing the values with those of TABLE 3, it can be seen that the PSIM simulations
are in close agreement with the MATLAB simulation results (the magnitude values
42
differ by a maximum of ±3.6%, while the phase angle values differ by a maximum of
±2.5%).
Second-order filters are required between the voltage source and the MC to
reduce harmonic content of the currents drawn from the source. Several filter topologies
have been discussed in literature [26, 27] some of which are shown in Fig. 30. The filter
topology of Fig. 30 (d) has been chosen due to its high damping factor and relatively
43
lower losses. The PSIM schematic of the system with input filters is shown in Fig. 31.
Damping resistors connected in parallel with the inductors reduce ringing effects. The
cut-off frequency ωcut-off of the filter is chosen as 1.2 kHz, which is 1/10th the switching
frequency. The filter parameters are chosen according to (24). The damping resistor is
chosen to result in a filter damping factor ζ of 0.5. It is then adjusted during simulation
to improve the system performance. The resulting filter parameters are given in TABLE
4.
1 L filter (24)
ζ=
2 Rdamp C filter
PARAMETER VALUE
The line-neutral voltages of the system are given in Fig. 32. The upper row
shows the source voltages, while the second row displays the MC input voltages (after
the filter stage). The last row shows the resulting MC output voltage of phase 1. It is
seen that the filter contributes to some ringing in the MC input voltages. As the damping
resistor is increased, the ringing effect decreases; however, it deteriorates the filtering
Fig. 32. PSIM simulation with input filters - source, MC input and MC output voltages (phase 1)
The currents of the system are shown in Fig. 33. The top-most row shows the three
output currents of the MC. The MC input current of phase 1 is shown in the second row,
while the filtered current drawn from the voltage source is given in the last row. The
Fig. 33. PSIM simulation with input filters – MC output, MC input and source currents (phase 1)
waveforms are summarized in TABLE 5. It is seen that the filters have not altered the
basic operation of the MC – the current phase reversal property. A comparison with
TABLE 3 shows the unfiltered and filtered cases to be in close agreement (magnitudes
TABLE 5. Magnitudes and phase angles of fundamental components of waveforms for the MC system
with input filters
analysis of the MC using the Venturini modulation method. The current phase reversal
property has been presented and is applied to the problem of VAR compensation in the
following chapter.
48
CHAPTER III
modulation functions H1, H2 and H3 were developed as in (10) and (11) which operate
the converter such that the phase of currents are reversed between the input and the
output. Simulation results of the MC system shown in Fig. 16 further illustrate this
property by showing that while the output currents lag the output voltages due to the
inductive element, the input currents actually lead the corresponding input voltages.
Thus, the inductor on the MC output is made to appear as a capacitor at the MC input by
reactive power load compensation, where capacitors are used extensively to locally
provide lagging VARs to loads, despite their serious reliability issues. This thesis
proposes a reactive power load compensator consisting of the MC with a 3-phase choke
index ‘q’, the MC can be operated to draw variable leading VARs from the source, while
The layout of a test case ac power system with a lagging load that needs
to the system at a point near the load. Though the source is typically ∆-connected, its
wye equivalent has been used to facilitate understanding the phase relationship between
phase currents and voltages. The line-neutral ac network voltages are denoted by v1,LN,
v2,LN and v3,LN and are also equal to the MC input voltages vi1,LN, vi2,LN and vi3,LN,
respectively. The magnitude and frequency of the voltages are denoted by VLN,rms and ω
respectively. The line-neutral MC output voltages are given by vo1,LN, vo2,LN and vo3,LN.
The 3-phase choke element, denoted by LMC, draws currents io1, io2 and io3 from the
output terminals of the MC. The currents drawn by the MC from the system are denoted
by ii1, ii2 and ii3, while the currents drawn by the load are given by iLoad1, iLoad2 and iLoad3.
The total currents drawn by the effective load, the original lagging load in parallel with
Let the ac network and MC input voltages be given by (25). Let it be assumed
modulation index ‘qcomp’. Then, from (12), we obtain the MC output voltages as in (26).
The choke element draws currents that lag the MC output voltages by exactly 90° as
given by (27). By virtue of the current reversal property of the Venturini modulation
method (13)-(14), the input currents of the MC are then derived as in (28).
V LN ,rms
io1 = 2 × q comp × × cos(ωt − π 2 )
ωLMC
V LN ,rms
io 2 = 2 × q comp × × cos(ωt − π 2 − 2π 3) (27)
ωLMC
V LN ,rms
io 3 = 2 × q comp × × cos(ωt − π 2 + 2π 3)
ωLMC
51
V LN ,rms
× cos(ωt + π 2 )
2
ii1 = 2 × q comp ×
ωLMC
V LN ,rms
× cos(ωt + π 2 − 2π 3)
2
ii 2 = 2 × q comp × (28)
ωLMC
VLN ,rms
× cos(ωt + π 2 + 2π 3)
2
ii 3 = 2 × q comp ×
ωLMC
The instantaneous input power per-phase is obtained as a product of the input voltages
p (t ) per phase = ( ⎛
)
2 × V LN ,rms cos(ωt ) × ⎜⎜ 2 × q comp ×
2 V LN ,rms
ωLMC
⎞
× cos(ωt + π 2 )⎟⎟
⎝ ⎠
2 2
(29)
q comp V LN ,rms
= 2× × cos(ωt ) × cos(ωt + π 2 )
ωLMC
2 2
q comp V LN ,rms
p (t ) per phase = × [cos(2ωt + π 2 ) + cos(− π 2 )]
ωLMC
2 2
(30)
q comp V LN ,rms
= × (−) sin (2ωt )
ωLMC
It is seen that the instantaneous power associated with the MC input has only a negative
double frequency component. This indicates that while the MC does not consume any
real power, it supplies reactive power to the ac network. The total three-phase reactive
(q ) × (V
comp
2
LN , rms )
2
Q = 3× (31)
ωLMC
So, in order to compensate a load requiring ‘Q’ lagging VARs, the MC must be operated
at a modulation index ‘qcomp’ which satisfies (31). From this equation, it is seen that the
52
reactive power compensated by the MC increases with the modulation index. Further,
lesser the choke inductance LMC, greater is the compensation. The choke value is decided
B. Simulation Results
The system shown in Fig. 34 was simulated in MATLAB and the parameters
used are listed in TABLE 6. The line-to-line voltage (phase voltage) of the ∆-configured
ac power grid is chosen as 480 V which results in the line-to-neutral voltage of 277.13 V
for the wye-model in Fig. 34. The maximum required reactive power compensation is
given by
(
Q Load = PLoad × tan cos −1 ( p. f .) ) (32)
PARAMETER VALUE
The VAR rating of the compensator is chosen accordingly. The required LMC is
calculated using (31). The chosen ‘N’ gives a reasonable switching frequency of 12 kHz.
Using the chosen MC parameter values, the modulation index is recalculated using (31).
1. Simulations in MATLAB
The system is simulated in MATLAB as mentioned in Chapter II. The input and
output voltages of phase 1 of the MC are given in Fig. 35. The input and output currents
of the MC phase 1 are shown in Fig. 36. The phase relationships between the
fundamental components of the input and output voltages and currents are illustrated in
Fig. 37.
Fig. 35. MATLAB simulation - Input and output voltages (phase 1) of the MC
54
Fig. 36. MATLAB simulation – Output and input currents (phase 1) of the MC
Fig. 37. MATLAB simulation - Phase relationships between voltages and currents of
the MC
55
The simulation result of Fig. 38 shows that reactive power compensation has been
achieved. Though the load draws lagging current iLoad1, the effective load (the
compensator and the original load) draws unity power factor (UPF) current i1 that is in
Fig. 38. MATLAB simulation – Lagging load currents and UPF ac network currents
showing the achieved reactive power compensation
The variation of reactive power compensation with the modulation index ‘q’ for
the given compensator parameters is shown in Fig. 39. As expected from (31), the VAR
compensation increases with the square of the modulation index. Since there were no
losses modeled in the converter, there is no real-power flow. Interestingly, the figure
shows a small offset in the relationship between ‘q’ and the reactive power compensated.
For example, when ‘q’ is zero, the reactive power compensated is non-zero (90 VAR).
56
Fig. 39. Variation of lagging reactive power compensated and real power drawn
by the MC with modulation index ‘q’
This error, which is the result of the switching functions not exactly implementing the
Chapter II. Another solution to the error that might be explored in future work is the
1
H1 = (1 + 2q cos(2ωt + δ))
3
1
H 2 = (1 + 2q cos(2ωt − 2π 3 + δ )) (33)
3
1
H 3 = (1 + 2q cos(2ωt + 2π 3 + δ ))
3
57
2. Simulation in PSIM
The ac network system with the lagging load and compensator were simulated in
PSIM as shown in Fig. 40. The bi-directional switches of the MC are implemented in the
common-collector configuration as shown in Fig. 41. The switching function (S1, S2, S3)
generation is the same as for the simulations shown in Chapter II and is explained in
Fig. 40. PSIM schematic of ac network power system with a load and the proposed VAR compensator
58
to generate the final 18 gating signals (S11P, S11N, S21P, S21N etc.). The four-step
sequence is required to prevent commutation failure in the MC. The PSIM schematic of
During the commutation of an output current of the MC from one input phase to
circuit on the output and a short-circuit of the input phases. The four-step commutation
logic is one of the most popular ways used to ensure this safety. The logic can be easily
explained with the help of the example shown in Fig. 42, where the output current is
transferred from input phase 1 to input phase 2. Then, S1P and S1N can be termed as the
outgoing switches, while S2P and S2N are known as the incoming switches. Let it be
assumed that the outgoing and incoming switches are governed by switching functions
59
S1 and S2, respectively. According to the current direction shown, it can be seen that only
S1P and S2P carry current during the commutation. Then, the four-step commutation
logic results in the switching sequence shown in Fig. 43. The ‘inactive’ S1N is turned off
first, followed by the switching on of the incoming ‘active’ S2P. The outgoing switch
S1P is then turned off, after which the switch S2N is turned on.
The time between each step of the commutation is decided based on the required
turn-on and turn-off times of the switches, to ensure the safe transfer of current between
the switches. The IGBT-based MC module FM35R12KE3 by EUPEC has been used as a
reference for the simulation. The IGBTs used in this module have total turn-on and turn-
off times of 135 ns and 610 ns, respectively [28]. As PSIM is a fixed time-step software,
turn-on and turn-off times of 200 ns and 800 ns have been considered so as to result in
reasonable simulation run-times. Accordingly a clock signal (CLK) of time period 200
ns is used as a base for the commutation logic. It is seen that switching signals to the
outgoing IGBTs follow the corresponding switching function after a delay of 0 CLK and
5 CLK depending on whether they are carrying current or not. Similarly, the signals to
the incoming switches follow their corresponding switching function after a delay of 4
CLK and 9 CLK cycles depending on their current carrying status. Following this logic,
the four-step commutation has been implemented in PSIM using shift registers to
generate the delayed versions of the switching functions. A 4x1 multiplexer is used to
choose between the four delayed versions based on the current carrying status of the
IGBTs and whether they are outgoing or incoming. Changes in the current direction or
the switching functions during the commutation period can disrupt the switching
ENABLE signal that has a time period equal to the commutation duration (2 µs).
61
The three switching functions S1, S2 and S3 are shown in Fig. 44 and Fig. 45.
The commutation process is shown in Fig. 46 and Fig. 47 for the cases when the
Fig. 46. Four-step commutation between S11P, S11N, S12P and S12N when io1 > 0
Fig. 47. Four-step commutation between S11P, S11N, S12P and S12N when io1 < 0
63
The function of the ENABLE signal which detects changes in switching signals
and current only on its rising edge is shown in Fig. 48. It is seen that the changes in the
switching function values and the current directions are asserted on the gating signals
The input and output voltages of phase 1 of the MC obtained using the four-step
commutation technique with the Venturini modulation method are shown in Fig. 49. The
load current iLoad1 is shown to lag the ac network voltage by the power factor angle
(36.87°) in Fig. 50. The effective load current drawn by the original load and the VAR
compensator is also shown. It is seen that this ac network current is in phase with the ac
network voltage, indicating that no reactive power is being transmitted from the source
64
to the original load. Thus, reactive power compensation has been achieved by the
proposed compensator.
Fig. 49. The input and output voltages (phase 1) of the MC using four-step commutation with Venturini’s
modulation method
Fig. 50. Ac network current (load + compensator currents) in relation to the ac network voltage, showing
that VAR compensation has taken place
65
It can be noted from the figure that the ac network current is not exactly in phase with
the voltage. As explained before, this difference is due to the inaccuracy introduced by
CHAPTER IV
The role of the control logic for the MC is to first generate the basic switching
functions S1, S2 and S3 and then use four-step commutation to result in the final 18
switching signals. This chapter presents a hardware implementation of the control logic
using a digital signal processor (DSP) and a complex programmable logic device
The block diagram of the control logic is given by Fig. 52 and is explained
below:
i. DSP: The TMS320LF2407A, a 16-bit fixed point processor that runs at the rate
programmed in the C language using the Code Composer Studio software. The
main function of the DSP is to generate the three switching functions S1, S2 and
S3. As the three functions are mutually exclusive, two of them are found to be
sufficient to generate all the switching signals. Two timers (T1 and T2) of the
S3, respectively. Look-up tables are used to store the different ‘ON’ times given
by t1 and t3, which are loaded into the timer compare registers using the interrupt
system of the DSP. The DSP is also required to generate digital current direction
signals that are used by the CPLD in the commutation logic. In the case when the
Fig. 52. Block diagram of the digital implementation of control signals for the MC
68
However, in the VAR compensator, it is known that the output currents of the
MC lag its output/input voltages by 90° due to the choke element at the MC
output. So, the current directions have been predicted based on the switching
ii. CPLD: The EPM7128SLC84-7 from the Altera MAX7000S family is chosen to
cells that can provide speed or power optimization. The CPLD is programmed
using Quartus-II and its schematic is as shown in Fig. 53. The four-step
efficient and robust than the logic presented in Chapter III. It does not require the
additional ENABLE signal which can induce delays in the switching signals. The
clock CLK is externally generated by the DSP using one of its timers. The switch
signal outputs are registered using flip-flops triggered by the global clock of the
The switches of each output phase of the MC are controlled by the state-machine
shown in Fig. 53. From the figure, it is seen that the states 1, 10 and 19 are event-
triggered by the falling or rising edges of the incoming S1 and S3, while the other states
S3P/S3N denote the positive and negative switches governed by S1, S2 and S3
respectively.
STATE OUTPUTS
STATES
S1P S1N S2P S2N S3P S3N
0 0 0 0 0 0 0
1 1 1 0 0 0 0
2 1 0 0 0 0 0
3 0 1 0 0 0 0
4 0 0 0 0 0 0
5 1 0 1 0 0 0
6 0 1 0 1 0 0
7 0 0 1 0 0 0
8 0 0 0 1 0 0
9 0 0 1 1 0 0
10 0 0 1 1 0 0
11 0 0 1 0 0 0
12 0 0 0 1 0 0
13 0 0 0 0 0 0
14 0 0 1 0 1 0
15 0 0 0 1 0 1
16 0 0 0 0 1 0
17 0 0 0 0 0 1
18 0 0 0 0 1 1
19 0 0 0 0 1 1
20 0 0 0 0 1 0
21 0 0 0 0 0 1
22 0 0 0 0 0 0
23 1 0 0 0 1 0
24 0 1 0 0 0 1
25 1 0 0 0 0 0
26 0 1 0 0 0 0
27 1 1 0 0 0 0
72
The switching functions obtained as DSP outputs are given by Fig. 55 and Fig.
56. The ‘START’ signal corresponds to the first pulse of the switching functions given
by ‘k=0’.
The current directions are shown in Fig. 57 as generated by the DSP. If only the
‘positive’ signal corresponding to an output current is high, the current is positive and if
only the ‘negative’ signal is high, the current is negative. The current is otherwise zero.
Screenshots of the switching signals for each MC output phase are shown in Fig.
The commutation sequence for switches S11P, S11N, S12P and S12N, which are
governed by the functions S1 and S2, is shown in Fig. 61, for positive current io1.
Four-step commutation for S11P, S11N, S12P and S12N is shown in Fig. 62 and Fig. 63
Fig. 63. Commutation sequence for switches governed by S1 and S2 for zero
output current
77
compensator is given in Fig. 66. The components of the diagram are listed and explained
ii. Input filters: As seen previously, LC line filters are required to reduce input
currents. Also, some lower harmonics might be amplified on adding the filter.
iii. Clamp circuit: The matrix converter must be protected from over-voltages due to
input line disturbances and output current faults. Clamp circuits consisting of fast
recovery diodes and a capacitor are used to safely disseminate the energy.
iv. Gate-drive circuit: The matrix converter module FM35R12KE3 requires six
linear regulators and optical isolators. The drive circuit must be designed well to
In addition to the above components, excellent noise immunity must be ensured through
careful design as the MC has a large number of switches. In addition, the MC is more
prone to line unbalances and distortion due to the lack of intermediate energy storage
elements. So, techniques that account for disturbances must be employed [30].
79
From the above description, it can be seen that the hardware implementation of
the entire VAR compensator is a rigorous process involving several factors. However, its
development and design is not essential to this thesis as previous work [29-31] indicate it
to be practically possible. On the other hand, the implementation of the control logic in
hardware is more critical and relevant to this thesis. The results presented in this chapter
show that the Venturini modulation method can be implemented accurately using a DSP
and a CPLD. Thus, the proposed VAR compensator has been shown to be a practically
feasible solution.
80
CHAPTER V
CONCLUSION
impedance of the loads and the transmission lines. While it is fundamental to the system,
reactive power is detrimental to the reliability, efficiency and overall performance of the
have been reviewed in detail. The serious reliability issues of capacitors resulting from
catastrophic and wear-out failure modes have been explained. Thus, the need to
eliminate capacitors from load-side VAR compensation techniques has been established.
The thesis proposes a load compensation technique based on the 3-phase ac-to-3-phase
ac matrix converter, which uses inductors instead of capacitors, to locally supply VARs
to loads.
The Venturini modulation method has been chosen to control the matrix
converter as it can enable the converter to operate with the advantageous current phase
reversal property. Through this property, though the inductive element of the proposed
compensator consumes VARs from the matrix converter, the converter supplies VARs to
the ac power system network. Detailed analysis of the operation of the matrix converter
using the Venturini method has been taken up in the thesis to establish the current phase
reversal property. The application of the matrix converter and inductors to VAR
81
compensation has been justified through mathematical analysis. An expression for the
VARs compensated by the proposed system has also been established. Simulation
studies carried out in the MATLAB and PSIM environments support the theoretical
analysis. Results for a specific case establish that load-side VAR compensation is
achieved by the proposed solution as per the initial claims. Associated practical concerns
such as input line filters and four-step commutation have also been addressed. Finally, a
digital implementation of the switching control signals that uses a DSP and a CPLD has
been presented to emphasize the practical feasibility of the proposed topology. The
hardware generated results show that the Venturini modulation method can be
effectively implemented as in theory and can be further applied to the proposed VAR
compensator to be a rigorous but practically feasible process. So, its development is not
seen to be critically essential to this thesis. Thus, the proposed capacitor-less VAR
compensator has been shown to be an effective and promising solution to the reliability
Future work in this area might include studies on the hardware implementation of
the entire proposed topology and associated practical issues including over-voltage and
speed of response and harmonic content of voltage and current waveforms. Other
REFERENCES
[1] T. J. E. Miller, Reactive power control in electric systems. New York: Wiley,
1982.
[4] N. G. Hingorani, "FACTS technology - state of the art, current challenges and
[5] L. Gyugyi, "Reactive power generation and control by thyristor circuits," IEEE
[6] H. Nomura, K. Fujiwara, and H. Kawakami, "A power factor compensator using
Available: http://www.ami.ac.uk/courses/topics/0136_ec/index.html
the Electrical Manufacturing & Coil Winding Conference, Rosemont, IL, 1997,
pp. 557-564.
[10] Catalog No. E1001D (Ver. 2), "Aluminum electrolytic capacitors," Nippon Chemi-
Electrical Manufacturing & Coil Winding Conference, Cincinnati, OH, 1999, pp.
89-92.
229-234.
[14] M. Venturini, "A new sine wave in sine wave out conversion technique
Conversion Conference (POWERCON 7), San Diego, CA, 1980, pp. E3/1 -
E3/15.
[19] A. Alesina and M. Venturini, "Intrinsic amplitude limits and optimum design of
Electronics Specialists Conference (PESC '88), Kyoto, Japan, 1988, vol. 2, pp.
1284-1291.
1986.
[21] L. Huber and D. Borojevic, "Space vector modulator for forced commutated
Proceedings B of Electric Power Applications, vol. 139, pp. 103-113, Mar. 1992.
[23] D. Casadei, G. Grandi, G. Serra, and A. Tani, "Space vector control of matrix
converters with unity input power factor and sinusoidal input/output waveforms,"
switch state," IEEE Transactions on Industrial Electronics, vol. 49, pp. 370-381,
Apr. 2002.
[27] S. Hongwu, L. Hua, W. Xingwei, and Y. Limin, "Damped input filter design of
Electronics and Drive Systems (PEDS 2009), Taipei, 2009, pp. 672-677.
[29] C. L. Neft and C. D. Schauder, "Theory and design of a 30-hp matrix converter,"
1992.
[30] H. J. Cha, "Analysis and design of matrix converters for adjustable speed drives
APPENDIX A
%---------------------------------------------------------------
NETWORK+LOAD) SYSTEM
%---------------------------------------------------------------
global dt Tsim t
global ncycles n
global fsw
%---------------------------------------------------------------
% Time set-up of simulation
%---------------------------------------------------------------
%---------------------------------------------------------------
%---------------------------------------------------------------
[V]
% phasor representation
V2util_LN = Vutil_LN_pk * cos(wutil*t - 2*pi/3);
%---------------------------------------------------------------
%---------------------------------------------------------------
Rload = 14.7458;
Lload = 29.3358e-3;
89
phiload = atan(Lload*wutil/Rload);
Pload_expected = (Vutil_LL_rms^2/Zload)*cos(phiload);
Qload_expected = (Vutil_LL_rms^2/Zload)*sin(phiload);
Lmc_perphase = 0.1169;
% system
q = ((Qload_expected*Lmc_perphase*wutil)^0.5)/Vutil_LL_rms;
% operation
MC(q,Lmc_perphase);
%---------------------------------------------------------------
%---------------------------------------------------------------
function [] = MC(q,Lmc_perphase)
global dt Tsim t
global ncycles n
global fsw
%---------------------------------------------------------------
%---------------------------------------------------------------
%---------------------------------------------------------------
% Modulation, Switching and Switch-Averaged Functions
%---------------------------------------------------------------
k = 0:Nsw-1;
t1 = (Tsw/3)*(1 + 2*q*cos(wmc*k*Tsw));
n_Tsw = round(Tsw/dt);
n_t1 = round(t1/dt);
n_t2 = round(t2/dt);
% period Tmc
% Switching functions
S1 = 0; % Initializing to 0
S2 = 0;
S3 = 0;
% Switch-averaged functions
Sw_avg1 = 0; % Initializing to 0
Sw_avg2 = 0;
Sw_avg3 = 0;
93
for i = 1:Nsw;
end
S2 = S2(2:length(S2));
S3 = S3(2:length(S3));
Sw_avg1 = Sw_avg1(2:length(Sw_avg1));
Sw_avg2 = Sw_avg2(2:length(Sw_avg2));
Sw_avg3 = Sw_avg3(2:length(Sw_avg3));
% periods
S1n = 0; % Initializing to 0
S2n = 0;
S3n = 0;
Sw_avg1n = 0;
Sw_avg2n = 0;
Sw_avg3n = 0;
for i = 1:n;
end
S2n = S2n(2:length(S2n));
S3n = S3n(2:length(S3n));
Sw_avg1n = Sw_avg1n(2:length(Sw_avg1n));
Sw_avg2n = Sw_avg2n(2:length(Sw_avg2n));
Sw_avg3n = Sw_avg3n(2:length(Sw_avg3n));
%---------------------------------------------------------------
% Output voltages of MC
%---------------------------------------------------------------
% H1,H2,H3
Vo1_MC_LN_math = H1.*Vi1_MC_LN + H2.*Vi2_MC_LN + H3.*Vi3_MC_LN;
% Using Sw_avg1n,Sw_avg2n,Sw_avg3n
+ Sw_avg3n.*Vi3_MC_LN;
+ Sw_avg1n.*Vi3_MC_LN;
+ Sw_avg2n.*Vi3_MC_LN;
%---------------------------------------------------------------
% Output currents of MC
%---------------------------------------------------------------
io2_MC_0 = (q*Vi_LN_MC_pk/(wi*Lmc_perphase))*cos(-pi/2-2*pi/3);
io3_MC_0 = (q*Vi_LN_MC_pk/(wi*Lmc_perphase))*cos(-pi/2+2*pi/3);
%---------------------------------------------------------------
%---------------------------------------------------------------
%---------------------------------------------------------------
%---------------------------------------------------------------
% Output voltages
% Output currents
% Input currents
97
%---------------------------------------------------------------
% fundamental frequency
%---------------------------------------------------------------
cos(-2*pi/3-ii2_MC_fundph);
P3_MC = 0.5 * Vutil_LN_pk * ii3_MC_fundmag * ...
cos(2*pi/3-ii3_MC_fundph);
sin(0-ii1_MC_fundph);
sin(-2*pi/3-ii2_MC_fundph);
sin(2*pi/3-ii3_MC_fundph);
Q_MC_theor = -1.5*((q*Vutil_LN_pk)^2)/(wutil*Lmc_perphase);
(c) MATLAB Code for the function ‘freqdom’ to determine the fundamental
k = L/2;
else
k = (L-1)/2;
end
% de-scaling
fund_mag = FFT_mag(i_fund);
fund_ph = FFT_ph(i_fund);
99
APPENDIX B
(a) The PSIM element ‘Simplified C block’ has been used to generate the basic three
pi = 3.1459;
{
fm = x1;
tm = 1/fm;
N = x3;
q = x2;
wm = 2*pi*fm;
Tseq = 1/(N*fm);
phi = 0;
}
{
time = t;
K = floor(time/Tseq);
K1 = K % N;
}
{
100
{
if( t < (K*Tseq + t1) )
H1 = 1;
else
H1 = 0;
if( t >= (K*Tseq + t1) && t < (K * Tseq + t1 + t2) )
H2 = 1;
else
H2 = 0;
if( t >= (K * Tseq + t1 + t2) && t < ((K+1) * Tseq) )
H3 = 1;
else
H3 = 0;
}
y1 = H1;
y2 = H2;
y3 = H3;
− The basic three switching functions are used to derive the 18 switching signals
− ‘CLK’ has a period of 200 ns, while ENA has a period equal to 2200 ns.
− The signal ‘Sw_curr’ is 1 when current flows through the corresponding switch
and is 0 otherwise. It is obtained from the sensed output currents using appropriate
− The ‘Edge Detector’ uses the simplified C block to detect the rising and falling
APPENDIX C
a) DSP Code : Generation of switching functions S1, S2, S3 and generation of output
current directions
1830,1796,1759,1720,1678,1634,1587,1539,1490,1438,
1386,1332,1278,1223,1167,1111,1055,1000,945,890,
836,784,733,683,635,589,545,503,463,426,
392,361,332,307,285,266,250,238,229,224,
222,224,229,238,250,266,285,307,332,361,
392,426,463,503,545,589,635,683,733,784,
836,890,945,1000,1055,1111,1167,1223,1278,1332,
1386,1438,1490,1539,1587,1634,1678,1720,1759,1796,
1830,1862,1890,1915,1938,1956,1972,1984,1993,1998 };
int n_t3[100] = {
2667,2714,2760,2803,2844,2882,2919,2952,2982,3010,
3034,3055,3073,3088,3099,3107,3110,3111,3108,3101,
3092,3078,3062,3042,3018,2992,2962,2931,2896,2857,
2817,2774,2730,2683,2634,2584,2533,2480,2425,2370,
2315,2260,2203,2148,2093,2038,1983,1930,1878,1827,
1778,1730,1685,1641,1600,1562,1526,1492,1462,1435,
1410,1389,1371,1357,1346,1339,1334,1334,1337,1343,
1352,1366,1383,1403,1426,1452,1482,1515,1550,1587,
1628,1670,1715,1761,1810,1861,1913,1966,2019,2074,
103
2129,2185,2241,2296,2352,2407,2461,2514,2567,2617 };
int k = 0;
int m = 0;
/* Dummy function to trap spurious interrupts */
void dummy_int(void)
{
while(1)
{
WDKEY = 85; /*0x0055h; */
WDKEY = 170; /*0x00AAh;*/
}
}
/* Main Function */
void main(void)
{
/* ------------Initialization---------------- */
asm(" setc INTM"); /* Disable interrupts */
asm (" clrc CNF"); /* Configure block B0 to
data memory */
IMR = 0; /* 0000h - Mask interrupts at
core level */
IFR = 65535; /* FFFFh - Clear interrupt flags
at core level */
WDCR = 111; /* 006Fh - Disable WD Timer */
SCSR1 = 13; /* 000Dh - Clear Illegal Addr bit
& Enable EVA,EVB clock inputs */
WDKEY = 85; /* 0055h - Reset WD counter */
WDKEY = 170; /* 00AAh */
WSGR = 0; /* 0000h - Set wait-state generator
for - 0 wait states */
MCRA = 65535; /* FFFFh - Assign primary
functionality to PortA/B Pins */
MCRB = 65535; /* FFFFh - Assign primary
functionality to PortC/D Pins */
MCRC = 65535; /* FFFFh - Assign primary
functionality to PortE/F Pins */
/* ------------End of Initialization---------- */
105
/* S1 & S3 generation */
/* Tracking k = 0 */
MCRC = 65527; /* FFF7h - IOPE3 (P1, pin 11) */
PEDATDIR = 65535; /* FFFFh - ON for k = 0 */
while(1)
{
;
}
}
library ieee;
use ieee.std_logic_1164.all;
end perphase_commutn_blk;
begin
107
if (edge_detect=4) then
next_state <= 1;
else
next_state <= 0;
end if;
wait_clk <= 1;
commutn_state <= 0;
next_state <= 5;
wait_clk <= 8;
commutn_state <= 1;
when 3 => S1P <= '0'; S1N <= '1';
S2P <= '0'; S2N <= '0';
S3P <= '0'; S3N <= '0';
next_state <= 6;
wait_clk <= 8;
commutn_state <= 1;
next_state <= 7;
108
wait_clk <= 2;
commutn_state <= 1;
next_state <= 9;
wait_clk <= 8;
commutn_state <= 1;
next_state <= 9;
wait_clk <= 8;
commutn_state <= 1;
next_state <= 1;
wait_clk <= 8;
commutn_state <= 1;
next_state <= 1;
wait_clk <= 8;
commutn_state <= 1;
when 24 => S1P <= '0'; S1N <= '1';
S2P <= '0'; S2N <= '0';
S3P <= '0'; S3N <= '0';
next_state <= 1;
wait_clk <= 8;
commutn_state <= 1;
else
next_state <= 4;
end if;
else
next_state <= 25;
end if;
wait_clk <= 1;
commutn_state <= 0;
when 26 => S1P <= '0'; S1N <= '0';
S2P <= '1'; S2N <= '1';
S3P <= '0'; S3N <= '0';
if (edge_detect=2) then
if (curr_pos='1') then
next_state <= 10;
elsif (curr_neg='1') then
next_state <= 11;
else
next_state <= 12;
end if;
else
next_state <= 26;
end if;
wait_clk <= 1;
commutn_state <= 0;
if (edge_detect=3) then
if (curr_pos='1') then
next_state <= 18;
elsif (curr_neg='1') then
next_state <= 19;
else
next_state <= 20;
end if;
else
next_state <= 27;
end if;
wait_clk <= 1;
commutn_state <= 0;
end case;
state_clocked: process(commutn_clk)
begin
112
if rising_edge(commutn_clk) then
if (wait_count=wait_clk) then
-- Moving to next state
present_state <= next_state; .
wait_count <= 1;
else
present_state <= present_state;
wait_count <= wait_count + 1;
end if;
end if;
VITA