Nowadays low power design circuits are major important for data transmission and processing the information among various system designs. One of the major multipliers used for synchronizing the data transmission is the systolic array multiplier, low power designs are mostly used for increasing the performance and reducing the hardware complexity. Among all the mathematical operations, multiplier plays a major role where it processes more information and with the high complexity of circuit in the existing irreversible design. We develop a systolic array multiplier using reversible gates for low power appliances, faults and coverage of the reversible logic are calculated in this paper. To improvise more, we introduced a reversible logic gate and tested the reversible systolic array multiplier using the fault injection method of built-in self-test block observer (BILBO) in which all corner cases are covered which shows 97% coverage compared with existing designs. Finally, Xilinx ISE 14.7 was used for synthesis and simulation results and compared parameters with existing designs which prove more efficiency.
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...ijsrd.com
high density, VLSI chips have led to rapid and innovative development in low power design during the recent years .The need for low power design is becoming a major issue in high performance digital systems such as microprocessor, digital signal processor and other applications. For these applications, Multiplier is the major core block. Based on the Multiplier design, an efficient processor is designed. Power and area efficient multiplier using CMOS logic circuits for applications in various digital signal processors is designed. This multiplier is implemented using Vedic multiplication algorithms mainly the "UrdhvaTriyakBhyam sutra., which is the most generalized one Vedic multiplication algorithm [1] . A multiplier is a very important element in almost all the processors and contributes substantially to the total power consumption of the system. The novel point is the efficient use of Vedic algorithm (sutras) that reduces the number of computational steps considerably compared with any conventional method . The schematic for this multiplier is designed using TANNER TOOL. Paper presents a systematic design methodology for this improved performance digital multiplier based on Vedic mathematics.
A Review of Different Methods for Booth MultiplierIJERA Editor
In this review paper, different type of implementation of Booth multiplier has been studied. Multipliers has great importance in digital signal processor, so designing a high-speed multiplier is the need of the hour. Advantages of using modified booth multiplier algorithm is that the number of partial product is reduced. Different types of addition algorithms are also discussed which are used for addition operation of multiplier.
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...IRJET Journal
The document describes a novel design for a multiplier and accumulator (MAC) unit using the modified Booth algorithm and parallel self-timed adder (PASTA). The modified Booth algorithm reduces the number of partial products compared to a regular multiplication process, lowering delay. A carry save adder design is also proposed to further improve performance in terms of computation speed, power consumption, and area compared to a conventional design using the modified Booth algorithm. Simulation results show the proposed MAC design with PASTA has better performance and reduced area overhead and critical path delay compared to conventional methods.
International Journal of Computational Engineering Research(IJCER)ijceronline
This document summarizes a research paper on designing a high-speed arithmetic architecture for a parallel multiplier-accumulator based on the radix-2 modified Booth algorithm. It presents the design of a modified Booth multiplier using a carry lookahead adder for high accuracy with a fixed width. It also proposes a high-speed MAC architecture that improves performance by eliminating the accumulator and modifying the carry-save adder to add lower bits in advance, reducing the number of inputs to the final adder. The proposed CSA architecture can efficiently implement the operations of the new MAC arithmetic.
International Journal of Computational Engineering Research(IJCER)ijceronline
This document summarizes a research paper on designing a high-speed arithmetic architecture for a parallel multiplier-accumulator based on the radix-2 modified Booth algorithm. It presents the design of a modified Booth multiplier using a carry lookahead adder for high accuracy with a fixed width. It also proposes a high-speed MAC architecture that improves performance by eliminating the accumulator and modifying the carry-save adder to add lower bits in advance, reducing the number of inputs to the final adder. The proposed CSA architecture can efficiently implement the operations of the new MAC arithmetic.
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
The document describes a proposed design for a low power 4-bit multiplier circuit using a hybrid full adder design with both pass-transistor logic and CMOS technology. The hybrid full adder uses 9 transistors compared to 12 in previous designs, reducing area and power. A faster Dadda algorithm is used to partition the partial product matrix into two parts that are reduced in parallel to two rows each using 3-bit and 2-bit counters, then combined with a carry look-ahead adder to form the final product. The proposed design aims to reduce propagation delay, power dissipation, and improve performance compared to previous multiplier circuit designs.
Low Power VLSI Design of Modified Booth Multiplieridescitation
Low power VLSI circuits became very vital criteria
for designing the energy efficient electronic designs for prime
performance and compact devices. Multipliers play a very
important role for planning energy economical processors that
decides the potency of the processor. To scale back the facility
consumption of multiplier factor booth coding methodology
is being employed to rearrange the input bits. The operation
of the booth decoder is to rearrange the given booth equivalent.
Booth decoder can increase the range of zeros in variety. Hence
the switching activity are going to be reduced that further
reduces the power consumption of the design. The input bit
constant determines the switching activity part that’s once
the input constant is zero corresponding rows or column of
the adder ought to be deactivated. When multiplicand contains
a lot of number of zeros the higher power reduction will takes
place. therefore in booth multiplier factor high power
reductions are going to be achieved.
Multipliers play an important role in today’s digital signal processing (DSP) and various other
applications. Multiplication is the most time consuming process in various signal processing operations like
convolution, circular convolution, auto-correlation and cross-correlation. With advances in technology, many
researchers have tried and are trying to design multipliers which offer either of the following- high speed, low
power consumption, regularity of layout and hence less area or even combination of them in multiplier. However
area and speed are two conflicting constraints. So improving speed results always in larger areas. So here we try
to find out the best trade off solution among the both of them. To have features like high speed and low power
consumption multipliers several algorithms have been introduced .In this paper, we describes Multipliers by using
various algorithm in VLSI technology.
An Area Efficient and High Speed Reversible Multiplier Using NS GateIJERA Editor
In digital computer system a major problem has been found that the Power dissipation which leads to bring some research on the methods to decrease this Area efficient, high speed. This is the main cause to give birth to reversible computing systems for digital computers and designs. Reversible computing is the path to future computing technologies, which all happen to use reversible logic. In addition, reversible computing will become mandatory because of the necessity to decrease power consumption. Reversible logic circuits have the same number of inputs and outputs, and have one-to-one mapping between vectors of inputs and outputs; thus the vector of input states can be always reconstructed from the vector of output states. Consequently, a computation is reversible, if it is always possible to uniquely recover the input, given the output. Each gate can be made reversible by adding some additional input and output wires if necessary. The main aim of this reversible computing is to lower the power dissipation, area efficient and high speed and some other advantages like security of data and prevention of errors etc... Reversible logic has so many applications low power CMOS, nanotechnology, DNA computing and quantum computing. There are two primary design implementations in this study which are the major spotlights. The first one is reversible design gate and the second one is multiplier design using reversible gates. In this manuscript we have implemented a 8 * 8 reversible design called “NSG(Non linear Sign Flip)”. The total project is implemented in Xilinx 14.7 ISE with Spartan 3E family.
1. The document discusses digital systems and their prominent role in everyday life. It mentions how digital systems are used in many applications from communication to medical treatment.
2. It then focuses on multipliers, which are key components in digital signal processors. Research is ongoing to design high-speed multipliers with lower power consumption and area.
3. The 1-bit full adder cell is introduced as the building block of multipliers. Designing efficient full adder cells can enhance overall module performance by reducing area, power, and delay.
This document discusses the implementation of a Radix-4 Booth multiplier using VHDL. It begins with an introduction to multipliers and their importance in digital circuits. It then provides background on Booth multiplication algorithms and related work that has been done to improve multiplier speed and efficiency. The methodology section describes the design of a configurable Booth multiplier that can detect the bit range of the operands and perform the multiplication accordingly in fewer cycles to reduce delay. Simulation results are provided to verify the operation of the Radix-4 Booth multiplier design for different input values.
Parallel Processing Technique for Time Efficient Matrix MultiplicationIJERA Editor
The document proposes a parallel-parallel input single output (PPI-SO) design for matrix multiplication that reduces hardware resources compared to existing designs. It uses fewer multipliers and registers than existing designs, trading off increased completion time. Simulation results show the PPI-SO design uses 30% less energy and involves 70% less area-delay product than other designs.
Low Power and Area Efficient Multiplier Layout using Transmission GateIJEEE
This paper proposes the design and implementation of a 2-bit multiplier using fully automatic design and semi- custom design. Any digital signal processor has adder and multiplier in its core unit. Low power and high speed mac units are in high demand and therefore make a significant place in today’s vlsi environment. Power consumption of cmos circuits is a major concern in vlsi design. The proposed design is made using transmission gate logicwhich helped in using less number of cmos. The multiplier circuit is first simulated using avlsi cad tool and thus the layout was generated. The proposed circuit is simulated by using 90nm cmos technology with supply voltage of 1.2v. It is found that semi-custom based design produced better results in terms of power dissipation and area.
This document summarizes a research paper on designing low power digit serial finite impulse response (FIR) filters using multiple constant multiplication (MCM) techniques. It proposes an architecture that optimizes the area of digit serial MCM operations at the gate level by considering the implementation costs of digit serial addition, subtraction and shift operations. An algorithm is presented to design digit serial FIR filters under a shift-adds architecture to reduce area compared to designs using generic digit serial multipliers. Experimental results show the technique leads to lower complexity digit serial MCM designs.
International Journal of Engineering Research and DevelopmentIJERD Editor
This document describes a proposed VLSI architecture for an optimized low power digit serial finite impulse response (FIR) filter using multiple constant multiplications (MCM). It introduces an algorithm to optimize the area of digit serial MCM operations at the gate level by considering implementation costs of digit serial addition, subtraction, and shift operations. The proposed filter architecture aims to reduce area and power compared to designs using generic digit serial multipliers through the use of MCM blocks optimized for area. Experimental results indicate the algorithm leads to lower complexity digit serial MCM designs.
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...IJERA Editor
The addition of two binary numbers is the important and most frequently used arithmetic process on
microprocessors, digital signal processors (DSP), and data-processing application-specific integrated circuits
(ASIC). Therefore, binary adders are critical structure blocks in very large-scale integrated (VLSI) circuits.
Their effective application is not trivial because a costly carry spread operation involving all operand bits has to
be achieved. Many different circuit constructions for binary addition have been planned over the last decades,
covering a wide range of presentation characteristics. In today era, reversibility has become essential part of
digital world to make digital circuits more efficient. In this paper, we have proposed a new method to reduce
quantum cost for ripple carry adder and carry skip adder. The results are simulated in Xilinx by using VHDL
language.
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET Journal
This document describes the design of a low power serial-parallel multiplier that uses a modified radix-4 Booth algorithm. It aims to improve performance over a standard serial-parallel Booth multiplier in terms of area, delay, and power. The proposed multiplier generates partial products conditionally, adding only non-zero Booth encodings and skipping zero operations to reduce transitions and increase throughput. It is implemented using FPGA technology to evaluate its utility for applications like digital signal processing and machine learning that require high performance multiplication.
https://technoelectronics44.blogspot.com/
GDI TECHNOLOGY, here you get GDI implementation and design of GDI based gates AND, OR, XOR, and Adders like CLA, CIA, CSKA, performance analysis of CMOS And GDI
Implemenation of Vedic Multiplier Using Reversible Gates csandit
With DSP applications evolving continuously, there is continuous need for improved multipliers which are faster and power efficient. Reversible logic is a new and promising field which addresses the problem of power dissipation. It has been shown to consume zero power theoretically. Vedic mathematics techniques have always proven to be fast and efficient for solving various problems. Therefore, in this paper we implement Urdhva Tiryagbhyam algorithm using reversible logic thereby addressing two important issues – speed and power consumption of implementation of multipliers. In this work, the design of 4x4 Vedic multiplier is optimized by reducing the number of logic gates, constant inputs, and garbage outputs. This multiplier can find its application in various fields like convolution, filter applications, cryptography, and communication.
VHDL Implementation of High Speed and Low Power BIST Based Vedic MultiplierIRJET Journal
This document describes a VHDL implementation of a built-in self-test (BIST) based Vedic multiplier circuit that aims to achieve high speed and low power consumption. A linear feedback shift register (LFSR) based test pattern generator (TPG) is used to generate random test vectors for the circuit under test, which is a 4-bit Vedic multiplier. The proposed design is simulated using Xilinx tools and VHDL. Simulation results show the BIST-based Vedic multiplier operating along with the test vectors from the TPG. Power analysis on different FPGAs shows the design has low dynamic power consumption.
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLEEditor IJMTER
In a typical processor, Multiplication is one of the basic arithmetic operations and it
requires substantially more hardware resources and processing time than addition and subtraction. In
fact, approximately 8.72% of all the instruction in typical processing units is multipliers. In
computers, a typical CPU allot a considerable amount of processing time in implementing arithmetic
operations, multiplication operations. In this paper, comparision of different multipliers is done for
low power requirement and high speed. The paper gives information of “booth” algorithm of
Mathematics which is utilized for multiplication to improve the speed of multiplier and , area
parameters of multipliers
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET Journal
This document compares the design of 4x4 array and Wallace tree multipliers using different full adders. It analyzes the power consumption, area, and delay of multipliers built with conventional, transmission function, transistor-based, and hybrid (12-transistor) full adders. Simulation results show the hybrid full adder achieves the lowest power consumption of 0.8946mW for the Wallace tree multiplier, demonstrating its benefit for building low power multipliers. In conclusion, replacing existing full adders in multipliers with the proposed hybrid full adder reduces area, power consumption, and transient time.
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsIJERA Editor
The Multiple valued logic(MVL) has increased attention in the last decades because of the possibility to represent the information with more than two discrete levels.Advancing from two-valued to four-valued logic provides a progressive approach. In new technologies, the most delay and power occurs in the connections between gates. When designing a function using MVL, we need fewer gates,which implies less number of connections, then less delay. In the existing system, the 4:1 multiplexer is designed using the MVL logic and various paramaters are analysed. In the proposed system, the idea of designing a Barrel shifter using the multiple valued logic and the parameters are all analyzed. All these designs are verified using Modelsim simulator.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design and Implementation of Low Power DSP Core with Programmable Truncated V...ijsrd.com
The programmable truncated Vedic multiplication is the method which uses Vedic multiplier and programmable truncation control bits and which reduces part of the area and power required by multipliers by only computing the most-significant bits of the product. The basic process of truncation includes physical reduction of the partial product matrix and a compensation for the reduced bits via different hardware compensation sub circuits. These results in fixed systems optimized for a given application at design time. A novel approach to truncation is proposed, where a full precision vedic multiplier is implemented, but the active section of the truncation is selected by truncation control bits dynamically at run-time. Such architecture brings together the power reduction benefits from truncated multipliers and the flexibility of reconfigurable and general purpose devices. Efficient implementation of such a multiplier is presented in a custom digital signal processor where the concept of software compensation is introduced and analyzed for different applications. Experimental results and power measurements are studied, including power measurements from both post-synthesis simulations and a fabricated IC implementation. This is the first system-level DSP core using a high speed Vedic truncated multiplier. Results demonstrate the effectiveness of the programmable truncated MAC (PTMAC) in achieving power reduction, with minimum impact on functionality for a number of applications. On comparison with the previous parallel multipliers Vedic should be much more fast and area should be reduced. Programmable truncated Vedic multiplier (PTVM) should be the basic part implemented for the arithmetic and PTMAC units.
32 bit×32 bit multiprecision razor based dynamicMastan Masthan
This document summarizes a research paper that presents a reconfigurable multiplier circuit that can dynamically adjust its precision, voltage, and frequency to minimize power consumption based on workload. It incorporates multiple smaller precision multipliers that can operate independently or in parallel. Razor flip-flops and dynamic voltage scaling are used to aggressively lower the voltage while ensuring correctness. Experimental results showed the design achieved up to 86.3% power reduction with only 11.1% area overhead compared to a fixed-width multiplier.
This document describes the design and verification of an 8x8 Vedic multiplier using a 90nm CMOS process. It presents the design methodology, including the use of Vedic multiplication algorithms to reduce computational steps compared to traditional methods. Transistor-level schematics for 2x2 and 4x4 multiplier modules are designed in Cadence using a 90nm library. The 4x4 module uses ripple carry adders to sum partial products in parallel. Simulation results verify the transistor-level designs match an ideal multiplier designed in Verilog, demonstrating an efficient digital multiplier based on Vedic mathematics.
This document describes a proposed VLSI implementation of a high-speed DCT architecture for H.264 video codec design. It presents a Booth radix-8 multiplier-based multiply-accumulate (MAC) unit to improve throughput and minimize area complexity for 8x8 2D DCT computation. The proposed MAC architecture achieves a maximum operating frequency of 129.18MHz while reducing area by 64% compared to a regular merged MAC unit with a conventional multiplier. FPGA implementation and performance analysis demonstrate the suitability of the proposed DCT architecture for applications in HDTV systems.
Vector space model, term frequency-inverse document frequency with linear sea...CSITiaesprime
For Muslims, the Hadith ranks as the secondary legal authority following the Quran. This research leverages hadith data to streamline the search process within the nine imams’ compendium using the vector space model (VSM) approach. The primary objective of this research is to enhance the efficiency and effectiveness of the search process within Hadith collections by implementing pre-filtering techniques. This study aims to demonstrate the potential of linear search and Django object-relational mapping (ORM) filters in reducing search times and improving retrieval performance, thereby facilitating quicker and more accurate access to relevant Hadiths. Prior studies have indicated that VSM is efficient for large data sets because it assigns weights to every term across all documents, regardless of whether they include the search keywords. Consequently, the more documents there are, the more protracted the weighting phase becomes. To address this, the current research pre-filters documents prior to weighting, utilizing linear search and Django ORM as filters. Testing on 62,169 hadiths with 20 keywords revealed that the average VSM search duration was 51 seconds. However, with the implementation of linear and Django ORM filters, the times were reduced to 7.93 and 8.41 seconds, respectively. The recall@10 rates were 79% and 78.5%, with MAP scores of 0.819 and 0.814, accordingly.
Electro-capacitive cancer therapy using wearable electric field detector: a r...CSITiaesprime
Electro-capacitive cancer therapy (ECCT), a less invasive and more targeted approach using wearable electric field detectors, is revolutionizing cancer therapy, a complex process involving traditional methods like surgery, chemotherapy, and radiation. The review aims to investigate the safety and efficacy of electric field exposure in vital organs, particularly in cancer therapy, to improve medical advancements. It will investigate the impact on cytokines and insulation integrity, as well as contribute to improving diagnostic techniques and safety measures in medical and engineering fields. Wearable electric field detectors have revolutionized cancer therapy by offering a non-invasive and personalized approach to treatment. These devices, such as smart caps or patches, measure changes in electric fields by detecting capacitance alterations. Their lightweight, comfortable, and easy to-wear nature allows for real-time monitoring, providing valuable data for personalized treatment plans. The portability of wearable detectors allows for long-term surveillance outside clinical settings, increasing therapy efficacy. The ability to collect data over extended periods provides a comprehensive view of electric field dynamics, aiding researchers in understanding tumor growth and progression. Technology advancements in electro-capacitive therapy, including wearable devices, have revolutionized cancer treatment by adjusting electric field intensity in real-time, enhancing personalized medicine, and improving treatment outcomes and patient quality of life.
More Related Content
Similar to Design and testing of systolic array multiplier using fault injecting schemes (20)
An Area Efficient and High Speed Reversible Multiplier Using NS GateIJERA Editor
In digital computer system a major problem has been found that the Power dissipation which leads to bring some research on the methods to decrease this Area efficient, high speed. This is the main cause to give birth to reversible computing systems for digital computers and designs. Reversible computing is the path to future computing technologies, which all happen to use reversible logic. In addition, reversible computing will become mandatory because of the necessity to decrease power consumption. Reversible logic circuits have the same number of inputs and outputs, and have one-to-one mapping between vectors of inputs and outputs; thus the vector of input states can be always reconstructed from the vector of output states. Consequently, a computation is reversible, if it is always possible to uniquely recover the input, given the output. Each gate can be made reversible by adding some additional input and output wires if necessary. The main aim of this reversible computing is to lower the power dissipation, area efficient and high speed and some other advantages like security of data and prevention of errors etc... Reversible logic has so many applications low power CMOS, nanotechnology, DNA computing and quantum computing. There are two primary design implementations in this study which are the major spotlights. The first one is reversible design gate and the second one is multiplier design using reversible gates. In this manuscript we have implemented a 8 * 8 reversible design called “NSG(Non linear Sign Flip)”. The total project is implemented in Xilinx 14.7 ISE with Spartan 3E family.
1. The document discusses digital systems and their prominent role in everyday life. It mentions how digital systems are used in many applications from communication to medical treatment.
2. It then focuses on multipliers, which are key components in digital signal processors. Research is ongoing to design high-speed multipliers with lower power consumption and area.
3. The 1-bit full adder cell is introduced as the building block of multipliers. Designing efficient full adder cells can enhance overall module performance by reducing area, power, and delay.
This document discusses the implementation of a Radix-4 Booth multiplier using VHDL. It begins with an introduction to multipliers and their importance in digital circuits. It then provides background on Booth multiplication algorithms and related work that has been done to improve multiplier speed and efficiency. The methodology section describes the design of a configurable Booth multiplier that can detect the bit range of the operands and perform the multiplication accordingly in fewer cycles to reduce delay. Simulation results are provided to verify the operation of the Radix-4 Booth multiplier design for different input values.
Parallel Processing Technique for Time Efficient Matrix MultiplicationIJERA Editor
The document proposes a parallel-parallel input single output (PPI-SO) design for matrix multiplication that reduces hardware resources compared to existing designs. It uses fewer multipliers and registers than existing designs, trading off increased completion time. Simulation results show the PPI-SO design uses 30% less energy and involves 70% less area-delay product than other designs.
Low Power and Area Efficient Multiplier Layout using Transmission GateIJEEE
This paper proposes the design and implementation of a 2-bit multiplier using fully automatic design and semi- custom design. Any digital signal processor has adder and multiplier in its core unit. Low power and high speed mac units are in high demand and therefore make a significant place in today’s vlsi environment. Power consumption of cmos circuits is a major concern in vlsi design. The proposed design is made using transmission gate logicwhich helped in using less number of cmos. The multiplier circuit is first simulated using avlsi cad tool and thus the layout was generated. The proposed circuit is simulated by using 90nm cmos technology with supply voltage of 1.2v. It is found that semi-custom based design produced better results in terms of power dissipation and area.
This document summarizes a research paper on designing low power digit serial finite impulse response (FIR) filters using multiple constant multiplication (MCM) techniques. It proposes an architecture that optimizes the area of digit serial MCM operations at the gate level by considering the implementation costs of digit serial addition, subtraction and shift operations. An algorithm is presented to design digit serial FIR filters under a shift-adds architecture to reduce area compared to designs using generic digit serial multipliers. Experimental results show the technique leads to lower complexity digit serial MCM designs.
International Journal of Engineering Research and DevelopmentIJERD Editor
This document describes a proposed VLSI architecture for an optimized low power digit serial finite impulse response (FIR) filter using multiple constant multiplications (MCM). It introduces an algorithm to optimize the area of digit serial MCM operations at the gate level by considering implementation costs of digit serial addition, subtraction, and shift operations. The proposed filter architecture aims to reduce area and power compared to designs using generic digit serial multipliers through the use of MCM blocks optimized for area. Experimental results indicate the algorithm leads to lower complexity digit serial MCM designs.
Efficient Design of Ripple Carry Adder and Carry Skip Adder with Low Quantum ...IJERA Editor
The addition of two binary numbers is the important and most frequently used arithmetic process on
microprocessors, digital signal processors (DSP), and data-processing application-specific integrated circuits
(ASIC). Therefore, binary adders are critical structure blocks in very large-scale integrated (VLSI) circuits.
Their effective application is not trivial because a costly carry spread operation involving all operand bits has to
be achieved. Many different circuit constructions for binary addition have been planned over the last decades,
covering a wide range of presentation characteristics. In today era, reversibility has become essential part of
digital world to make digital circuits more efficient. In this paper, we have proposed a new method to reduce
quantum cost for ripple carry adder and carry skip adder. The results are simulated in Xilinx by using VHDL
language.
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET Journal
This document describes the design of a low power serial-parallel multiplier that uses a modified radix-4 Booth algorithm. It aims to improve performance over a standard serial-parallel Booth multiplier in terms of area, delay, and power. The proposed multiplier generates partial products conditionally, adding only non-zero Booth encodings and skipping zero operations to reduce transitions and increase throughput. It is implemented using FPGA technology to evaluate its utility for applications like digital signal processing and machine learning that require high performance multiplication.
https://technoelectronics44.blogspot.com/
GDI TECHNOLOGY, here you get GDI implementation and design of GDI based gates AND, OR, XOR, and Adders like CLA, CIA, CSKA, performance analysis of CMOS And GDI
Implemenation of Vedic Multiplier Using Reversible Gates csandit
With DSP applications evolving continuously, there is continuous need for improved multipliers which are faster and power efficient. Reversible logic is a new and promising field which addresses the problem of power dissipation. It has been shown to consume zero power theoretically. Vedic mathematics techniques have always proven to be fast and efficient for solving various problems. Therefore, in this paper we implement Urdhva Tiryagbhyam algorithm using reversible logic thereby addressing two important issues – speed and power consumption of implementation of multipliers. In this work, the design of 4x4 Vedic multiplier is optimized by reducing the number of logic gates, constant inputs, and garbage outputs. This multiplier can find its application in various fields like convolution, filter applications, cryptography, and communication.
VHDL Implementation of High Speed and Low Power BIST Based Vedic MultiplierIRJET Journal
This document describes a VHDL implementation of a built-in self-test (BIST) based Vedic multiplier circuit that aims to achieve high speed and low power consumption. A linear feedback shift register (LFSR) based test pattern generator (TPG) is used to generate random test vectors for the circuit under test, which is a 4-bit Vedic multiplier. The proposed design is simulated using Xilinx tools and VHDL. Simulation results show the BIST-based Vedic multiplier operating along with the test vectors from the TPG. Power analysis on different FPGAs shows the design has low dynamic power consumption.
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLEEditor IJMTER
In a typical processor, Multiplication is one of the basic arithmetic operations and it
requires substantially more hardware resources and processing time than addition and subtraction. In
fact, approximately 8.72% of all the instruction in typical processing units is multipliers. In
computers, a typical CPU allot a considerable amount of processing time in implementing arithmetic
operations, multiplication operations. In this paper, comparision of different multipliers is done for
low power requirement and high speed. The paper gives information of “booth” algorithm of
Mathematics which is utilized for multiplication to improve the speed of multiplier and , area
parameters of multipliers
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET Journal
This document compares the design of 4x4 array and Wallace tree multipliers using different full adders. It analyzes the power consumption, area, and delay of multipliers built with conventional, transmission function, transistor-based, and hybrid (12-transistor) full adders. Simulation results show the hybrid full adder achieves the lowest power consumption of 0.8946mW for the Wallace tree multiplier, demonstrating its benefit for building low power multipliers. In conclusion, replacing existing full adders in multipliers with the proposed hybrid full adder reduces area, power consumption, and transient time.
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsIJERA Editor
The Multiple valued logic(MVL) has increased attention in the last decades because of the possibility to represent the information with more than two discrete levels.Advancing from two-valued to four-valued logic provides a progressive approach. In new technologies, the most delay and power occurs in the connections between gates. When designing a function using MVL, we need fewer gates,which implies less number of connections, then less delay. In the existing system, the 4:1 multiplexer is designed using the MVL logic and various paramaters are analysed. In the proposed system, the idea of designing a Barrel shifter using the multiple valued logic and the parameters are all analyzed. All these designs are verified using Modelsim simulator.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design and Implementation of Low Power DSP Core with Programmable Truncated V...ijsrd.com
The programmable truncated Vedic multiplication is the method which uses Vedic multiplier and programmable truncation control bits and which reduces part of the area and power required by multipliers by only computing the most-significant bits of the product. The basic process of truncation includes physical reduction of the partial product matrix and a compensation for the reduced bits via different hardware compensation sub circuits. These results in fixed systems optimized for a given application at design time. A novel approach to truncation is proposed, where a full precision vedic multiplier is implemented, but the active section of the truncation is selected by truncation control bits dynamically at run-time. Such architecture brings together the power reduction benefits from truncated multipliers and the flexibility of reconfigurable and general purpose devices. Efficient implementation of such a multiplier is presented in a custom digital signal processor where the concept of software compensation is introduced and analyzed for different applications. Experimental results and power measurements are studied, including power measurements from both post-synthesis simulations and a fabricated IC implementation. This is the first system-level DSP core using a high speed Vedic truncated multiplier. Results demonstrate the effectiveness of the programmable truncated MAC (PTMAC) in achieving power reduction, with minimum impact on functionality for a number of applications. On comparison with the previous parallel multipliers Vedic should be much more fast and area should be reduced. Programmable truncated Vedic multiplier (PTVM) should be the basic part implemented for the arithmetic and PTMAC units.
32 bit×32 bit multiprecision razor based dynamicMastan Masthan
This document summarizes a research paper that presents a reconfigurable multiplier circuit that can dynamically adjust its precision, voltage, and frequency to minimize power consumption based on workload. It incorporates multiple smaller precision multipliers that can operate independently or in parallel. Razor flip-flops and dynamic voltage scaling are used to aggressively lower the voltage while ensuring correctness. Experimental results showed the design achieved up to 86.3% power reduction with only 11.1% area overhead compared to a fixed-width multiplier.
This document describes the design and verification of an 8x8 Vedic multiplier using a 90nm CMOS process. It presents the design methodology, including the use of Vedic multiplication algorithms to reduce computational steps compared to traditional methods. Transistor-level schematics for 2x2 and 4x4 multiplier modules are designed in Cadence using a 90nm library. The 4x4 module uses ripple carry adders to sum partial products in parallel. Simulation results verify the transistor-level designs match an ideal multiplier designed in Verilog, demonstrating an efficient digital multiplier based on Vedic mathematics.
This document describes a proposed VLSI implementation of a high-speed DCT architecture for H.264 video codec design. It presents a Booth radix-8 multiplier-based multiply-accumulate (MAC) unit to improve throughput and minimize area complexity for 8x8 2D DCT computation. The proposed MAC architecture achieves a maximum operating frequency of 129.18MHz while reducing area by 64% compared to a regular merged MAC unit with a conventional multiplier. FPGA implementation and performance analysis demonstrate the suitability of the proposed DCT architecture for applications in HDTV systems.
Vector space model, term frequency-inverse document frequency with linear sea...CSITiaesprime
For Muslims, the Hadith ranks as the secondary legal authority following the Quran. This research leverages hadith data to streamline the search process within the nine imams’ compendium using the vector space model (VSM) approach. The primary objective of this research is to enhance the efficiency and effectiveness of the search process within Hadith collections by implementing pre-filtering techniques. This study aims to demonstrate the potential of linear search and Django object-relational mapping (ORM) filters in reducing search times and improving retrieval performance, thereby facilitating quicker and more accurate access to relevant Hadiths. Prior studies have indicated that VSM is efficient for large data sets because it assigns weights to every term across all documents, regardless of whether they include the search keywords. Consequently, the more documents there are, the more protracted the weighting phase becomes. To address this, the current research pre-filters documents prior to weighting, utilizing linear search and Django ORM as filters. Testing on 62,169 hadiths with 20 keywords revealed that the average VSM search duration was 51 seconds. However, with the implementation of linear and Django ORM filters, the times were reduced to 7.93 and 8.41 seconds, respectively. The recall@10 rates were 79% and 78.5%, with MAP scores of 0.819 and 0.814, accordingly.
Electro-capacitive cancer therapy using wearable electric field detector: a r...CSITiaesprime
Electro-capacitive cancer therapy (ECCT), a less invasive and more targeted approach using wearable electric field detectors, is revolutionizing cancer therapy, a complex process involving traditional methods like surgery, chemotherapy, and radiation. The review aims to investigate the safety and efficacy of electric field exposure in vital organs, particularly in cancer therapy, to improve medical advancements. It will investigate the impact on cytokines and insulation integrity, as well as contribute to improving diagnostic techniques and safety measures in medical and engineering fields. Wearable electric field detectors have revolutionized cancer therapy by offering a non-invasive and personalized approach to treatment. These devices, such as smart caps or patches, measure changes in electric fields by detecting capacitance alterations. Their lightweight, comfortable, and easy to-wear nature allows for real-time monitoring, providing valuable data for personalized treatment plans. The portability of wearable detectors allows for long-term surveillance outside clinical settings, increasing therapy efficacy. The ability to collect data over extended periods provides a comprehensive view of electric field dynamics, aiding researchers in understanding tumor growth and progression. Technology advancements in electro-capacitive therapy, including wearable devices, have revolutionized cancer treatment by adjusting electric field intensity in real-time, enhancing personalized medicine, and improving treatment outcomes and patient quality of life.
Technology adoption model for smart urban farming-a proposed conceptual modelCSITiaesprime
Technological advancements have made their way into the heart of human civilization across numerous fields, namely healthcare, logistics, and agriculture. Amidst the sprouting issues and challenges in the agriculture sector, particularly, the growing trend of integrating agriculture and technologies is roaring. The public and private sectors work hand in hand with regard to addressing these complex issues and challenges that arise, aiming for efficient and sustainable possible solutions. This study is a continuation of a previous systematic literature review; hence, the main objective is to deliver a proposed conceptual model for technology adoption specifically for smart urban farming. Innovation diffusion theory (IDT) is used as the main foundation of the proposed conceptual model, supplemented with additional factors drawn from other exisiting technology adoption models both the originals and extended versions. The outcome of the study is expected to reveal valuable insights into the components affecting the technology adoption model in smart urban farming, which will be further laid out upon in the upcoming study, offering a robust framework for future studies and applications in smart urban farming.
Optimizing development and operations from the project success perspective us...CSITiaesprime
By merging development and operation disciplines, the approach known as development and operations (DevOps) can significantly improve the efficiency and effectiveness of software development. Despite its potential benefits, successfully implementing DevOps within traditional project management frameworks presents significant challenges. This study explores the critical factors influencing the implementation of DevOps practices from the project management perspective, specifically focusing on software development projects in the Ministry of Finance. This study utilizes the analytic hierarchy process (AHP) to prioritize the critical elements of project success criteria and DevOps factors necessary for effective implementation. The findings indicate that stakeholder satisfaction, quality, and value creation are the primary criteria for project success. Moreover, knowledge and skills, collaboration and communication, and robust infrastructure are pivotal factors for facilitating DevOps within project management. The study provides actionable insights for organizations aiming to improve their project outcomes by incorporating DevOps and offers a systematic approach to decision-making using AHP. This study recognizes limitations due to its focus on specific contexts and emphasizes the need for future research in diverse organizational environments to validate and expand these findings.
Unraveling Indonesian heritage through pattern recognition using YOLOv5CSITiaesprime
This research focuses on three iconic Indonesian batik patterns-Kawung, Mega Mendung, and Parang-due to their cultural significance and recognition. Kawung symbolizes harmony, Mega Mendung represents power, and Parang signifies protection and spiritual power. Using the YOLOv5 deep learning model, the study aimed to accurately identify these patterns. Results showed mean average precision (mAP) scores of 77% for Kawung, 80% for Parang, and an impressive 99% for Mega Mendung. The highest precision results were 91% for Kawung, 88% for Parang, and 77% for Mega Mendung. These findings highlight the potential of pattern recognition in preserving cultural heritage. Understanding these designs contributes to the appreciation of Indonesia s culture. The research suggests applications in cultural studies, digital archiving, and the textile industry, ensuring the legacy of these patterns endures.
Capabilities of cellebrite universal forensics extraction device in mobile de...CSITiaesprime
The powerful digital forensics tool cellebrite universal forensics extraction device (UFED) extracts and analyzes mobile device data, helping investigators solve criminal and cybersecurity cases. Advanced methods and algorithms allow Cellebrite UFED to recover data from erased or obscured devices. Cellebrite UFED can pull data from call logs, texts, emails, and social media, providing valuable evidence for investigations. The use of smartphones and tablets in personal and professional settings has spurred the development of mobile device forensics. The intuitive user interface speeds up data extraction and analysis, revealing crucial information. It can decrypt encrypted data, recover deleted files, and extract data from multiple devices. The sector's best data extraction functionality, Cellebrite UFED, helps forensic analysts gather crucial evidence for investigations. Legal and ethical considerations are crucial in mobile device forensics. Legal considerations include allowing access to data, protecting privacy, and adhering to chain of custody protocols. Ethics include transparency, defamation, and information exploitation protection. Using Cellebrite UFED, researchers can navigate complex data on mobile devices more efficiently and precisely. Artificial intelligence (AI) and machine learning (ML) algorithms may automate data extraction in future tools. Examiners must train, maintain, and establish clear protocols for using Cellebrite UFED in forensic investigations.
Company clustering based on financial report data using k-meansCSITiaesprime
Stock investment is the act of providing funds or assets to obtain future payments for gifts given. In its application, novice investors often make mistakes, one of which is not knowing the health condition of the company they want to target. By applying the machine learning clustering method based on company financial report data, it was found that 2 clusters were formed. This can show the current condition of the company so that it can be a consideration for investors, such as clusters of companies that have a profit trend that is always stable and increasing, or clusters of companies that are in the process of developing their business and groups of companies that have large amounts of debt from year to year.
Securing DNS over HTTPS traffic: a real-time analysis toolCSITiaesprime
DNS over HTTPS (DoH) is a developing protocol that uses encryption to secure domain name system (DNS) queries within hypertext transfer protocol secure (HTTPS) connections, thereby improving privacy and security while browsing the web. This study involved the development of a live tool that captures and analyzes DoH traffic in order to classify it as either benign or malicious. We employed machine learning (ML) algorithms such as K-nearest neighbors (K-NN), random forest (RF), decision tree (DT), deep neural network (DNN), and support vector machine (SVM) to categorize the data. All of the algorithms, namely KNN, RF, and DT, achieved exceptional performance, with F1 scores of 1.0 or above for both precision and recall. The SVM and DNN both achieved exceptionally high scores, with only slight differences in accuracy. This tool employs a voting mechanism to arrive at a definitive classification decision. By integrating with the Mallory tool, it becomes possible to locally resolve DNS, which in turn allows for more accurate simulation of DoH queries. The evaluation results clearly indicate outstanding performance, confirming the tool's effectiveness in analyzing DoH traffic for network security and threat detection purposes.
Adversarial attacks in signature verification: a deep learning approachCSITiaesprime
Handwritten signature recognition in forensic science is crucial for identity and document authentication. While serving as a legal representation of a person’s agreement or consent to the contents of a document, handwritten signatures de termine the authenticity of a document, identify forgeries, pinpoint the suspects and support other pieces of evidence like ink or document analysis. This work focuses on developing and evaluating a handwritten signature verification sys tem using a convolutional neural network (CNN) and emphasising the model’s efficacy using hand-crafted adversarial attacks. Initially, handwritten signatures have been collected from sixteen volunteers, each contributing ten samples, fol lowed by image normalization and augmentation to boost synthetic data samples and overcome the data scarcity. The proposed model achieved a testing accu racy of 91.35% using an 80:20 train-test split. Additionally, using the five-fold cross-validation, the model achieved a robust validation accuracy of nearly 98%. Finally, the introduction of manually constructed adversarial assaults on the sig nature images undermines the model’s accuracy, bringing the accuracy down to nearly 80%. This highlights the need to consider adversarial resilience while designing deep learning models for classification tasks. Exposing the model to real look-alike fake samples is critical while testing its robustness and refining the model using trial and error methods.
Optimizing classification models for medical image diagnosis: a comparative a...CSITiaesprime
The surge in machine learning (ML) and artificial intelligence has revolutionized medical diagnosis, utilizing data from chest ct-scans, COVID-19, lung cancer, brain tumor, and alzheimer parkinson diseases. However, the intricate nature of medical data necessitates robust classification models. This study compares support vector machine (SVM), naïve Bayes, k-nearest neighbors (K-NN), artificial neural networks (ANN), and stochastic gradient descent on multi-class medical datasets, employing data collection, Canny image segmentation, hu moment feature extraction, and oversampling/under-sampling for data balancing. Classification algorithms are assessed via 5-fold cross-validation for accuracy, precision, recall, and F-measure. Results indicate variable model performance depending on datasets and sampling strategies. SVM, K-NN, ANN, and SGD demonstrate superior performance on specific datasets, achieving accuracies between 0.49 to 0.57. Conversely, naïve Bayes exhibits limitations, achieving precision levels of 0.46 to 0.47 on certain datasets. The efficacy of oversampling and under-sampling techniques in improving classification accuracy varies inconsistently. These findings aid medical practitioners and researchers in selecting suitable models for diagnostic applications.
Acoustic echo cancellation system based on Laguerre method and neural networkCSITiaesprime
Acoustic echo cancellation (AEC) is a fundamental requirement of signal processing to increase the quality of teleconferences. In this paper, a system that combines the Laguerre method with neural networks is proposed for AEC. In particular, the signal is processed using the Laguerre method to effectively handle nonlinear transmission line system. The results after applying the Laguerre method are then fed into a neural network for training and acoustic echo cancellation. The proposed system is tested on both linear and nonlinear transmission lines. Simulation results show that combining the Laguerre method with neural networks is highly effective for AEC in both linear and nonlinear transmission lines system. The AEC results obtained by the proposed method achieves a significant improvement in nonlinear transmission lines and it is the basis for building a practical echo cancellation system.
Clustering man in the middle attack on chain and graph-based blockchain in in...CSITiaesprime
Network security on internet of things (IoT) devices in the IoT development process may open rooms for hackers and other problems if not properly protected, particularly in the addition of internet connectivity to computing device systems that are interrelated in transferring data automatically over the network. This study implements network detection on IoT network security resembles security systems from man in the middle (MITM) attacks on blockchains. Security systems that exist on blockchains are decentralized and have peer to peer characteristics which are categorized into several parts based on the type of architecture that suits their use cases such as blockchain chain based and graph based. This study uses the principal component analysis (PCA) to extract features from the transaction data processing on the blockchain process and produces 9 features before the k-means algorithm with the elbow technique was used for classifying the types of MITM attacks on IoT networks and comparing the types of blockchain chain-based and graph-based architectures in the form of visualizations as well. Experimental results show 97.16% of normal data and 2.84% of MITM attack data were observed.
Smart irrigation system using node microcontroller unit ESP8266 and Ubidots c...CSITiaesprime
The agricultural irrigation system is extremely important. For optimal harvest yields, farmers must manage rice plant quality by monitoring water, soil, and temperature on agricultural fields. If market demand rises, traditional rice field irrigation in Indonesia will make things harder for farmers. This modern era requires a system that lets farmers monitor and regulate agricultural fields anywhere, anytime. We need a solution that can control the irrigation system remotely using an internet of things (IoT) device and a smartphone. This study employed the Ubidots IoT cloud platform. In addition, the study uses soil moisture and temperature sensors to monitor conditions in agricultural regions, while pumps function as irrigation systems. The test results indicate the proper design of the system. Each trial collected data. The pump will turn on and off automatically based on soil moisture criteria, with the pump active while the soil moisture is less than 20% and deactivated when the soil moisture exceeds 20%. In simulation mode, the pump operates for an average of 0–5 seconds of watering. The monitoring system shows the current soil temperature and moisture levels. Temperature sensors respond in 1-3 seconds, whereas soil moisture sensors respond in 0–4 seconds.
Development of learning videos for natural science subjects in junior high sc...CSITiaesprime
The purpose of this study was to determine the development procedure and the feasibility of learning media for whiteboard animation in Natural Sciences subjects at SMP Padindi, Tangerang Regency. This study uses a research and development (R&D) approach. The development model in this study is the analysis design development implementation evaluation (ADDIE) model. The feasibility test is carried out by means of individual testing (one to one) on 3 experts, namely material experts, learning experts, and media experts, as well as 3 students. In addition, a small group test was also carried out on 9 students. The results showed that: i) the material expert test was 87.5%, the learning expert was 85%, the media expert was 84.44%, 3 students were 88.84%, and the small group was 90%; and ii) this whiteboard animation learning media is suitable for use based on the results of media trials by experts and students.
Clustering of uninhabitable houses using the optimized apriori algorithmCSITiaesprime
Clustering is one of the roles in data mining which is very popularly used for data problems in solving everyday problems. Various algorithms and methods can support clustering such as Apriori. The Apriori algorithm is an algorithm that applies unsupervised learning in completing association and clustering tasks so that the Apriori algorithm is able to complete clustering analysis in Uninhabitable Houses and gain new knowledge about associations. Where the results show that the combination of 2 itemsets with a tendency value for Gas Stove fuel of 3 kg and the installed power meter for the attribute item criteria results in a minimum support value of 77% and a minimum confidence value of 87%. This proves that a priori is capable of clustering Uninhabitable Houses to help government work programs.
Improving support vector machine and backpropagation performance for diabetes...CSITiaesprime
Diabetes mellitus is a glucose disorder disease in the human body that contributes significantly to the high mortality rate. Various studies on early detection and classification have been conducted as a diabetes mellitus prevention effort by applying a machine learning model. The problems that may occur are weak model performance and misclassification caused by imbalanced data. The existence of dominating (majority) data causes poor model performance in identifying minority data. This paper proposed handling the problem of imbalanced data by performing the synthetic minority oversampling technique (SMOTE) and observing its effect on the classification performance of the support vector machine (SVM) and Backpropagation artificial neural network (ANN) methods. The experiment showed that the SVM method and imbalanced data achieved 94.31% accuracy, and the Backpropagation ANN achieved 91.56% accuracy. At the same time, the SVM method and balanced data produced an accuracy of 98.85%, while the Backpropagation ANN method and balanced data produced an accuracy of 94.90%. The results show that oversampling techniques can improve the performance of the classification model for each data class.
Video shot boundary detection based on frames objects comparison and scale-in...CSITiaesprime
The most popular source of data on the Internet is video which has a lot of information. Automating the administration, indexing, and retrieval of movies is the goal of video structure analysis, which uses content-based video indexing and retrieval. Video analysis requires the ability to recognize shot changes since video shot boundary recognition is a preliminary stage in the indexing, browsing, and retrieval of video material. A method for shot boundary detection (SBD) is suggested in this situation. This work proposes a shot boundary detection system with three stages. In the first stage, multiple images are read in temporal sequence and transformed into grayscale images. Based on correlation value comparison, the number of redundant frames in the same shots is decreased, from this point on, the amount of time and computational complexity is reduced. Then, in the second stage, a candidate transition is identified by comparing the objects of successive frames and analyzing the differences between the objects using the standard deviation metric. In the last stage, the cut transition is decided upon by matching key points using a scale-invariant feature transform (SIFT). The proposed system achieved an accuracy of 0.97 according to the F-score while minimizing time consumption.
Machine learning-based anomaly detection for smart home networks under advers...CSITiaesprime
As smart home networks become more widespread and complex, they are capable of providing users with a wide range of applications and services. At the same time, the networks are also vulnerable to attack from malicious adversaries who can take advantage of the weaknesses in the network's devices and protocols. Detection of anomalies is an effective way to identify and mitigate these attacks; however, it requires a high degree of accuracy and reliability. This paper proposes an anomaly detection method based on machine learning (ML) that can provide a robust and reliable solution for the detection of anomalies in smart home networks under adversarial attack. The proposed method uses network traffic data of the UNSW-NB15 and IoT-23 datasets to extract relevant features and trains a supervised classifier to differentiate between normal and abnormal behaviors. To assess the performance and reliability of the proposed method, four types of adversarial attack methods: evasion, poisoning, exploration, and exploitation are implemented. The results of extensive experiments demonstrate that the proposed method is highly accurate and reliable in detecting anomalies, as well as being resilient to a variety of types of attacks with average accuracy of 97.5% and recall of 96%.
Transfer learning: classifying balanced and imbalanced fungus images using in...CSITiaesprime
Identifying the genus of fungi is known to facilitate the discovery of new medicinal compounds. Currently, the isolation and identification process is predominantly conducted in the laboratory using molecular samples. However, mastering this process requires specific skills, making it a challenging task. Apart from that, the rapid and highly accurate identification of fungus microbes remains a persistent challenge. Here, we employ a deep learning technique to classify fungus images for both balanced and imbalanced datasets. This research used transfer learning to classify fungus from the genera Aspergillus, Cladosporium, and Fusarium using InceptionV3 model. Two experiments were run using the balanced dataset and the imbalanced dataset, respectively. Thorough experiments were conducted and model effectiveness was evaluated with standard metrics such as accuracy, precision, recall, and F1 score. Using the trendline of deviation knew the optimum result of the epoch in each experimental model. The evaluation results show that both experiments have good accuracy, precision, recall, and F1 score. A range of epochs in the accuracy and loss trendline curve can be found through the experiment with the balanced, even though the imbalanced dataset experiment could not. However, the validation results are still quite accurate even close to the balanced dataset accuracy.
Implementation of automation configuration of enterprise networks as software...CSITiaesprime
Software defined network (SDN) is a new computer network configuration concept in which the data plane and control plane are separated. In Cisco system, the SDN concept is implemented in Cisco Application Centric Infrastructure (Cisco ACI), which by default can be configured through the main controller, namely the Application Policy Infrastructure Controller (APIC). Conventional configuration on Cisco ACI creates problems, i.e.: the large number of required configurations causes the increase of time required for configuration and the risk of misconfiguration due to repetitive works. This problem reduces the productivity of network engineers in managing Cisco system. In overcoming these problems, this research work proposes an automation tool for Cisco ACI configuration using Ansible and Python as an SDN implementation for optimizing enterprise network configuration. The SDN is implemented and experimented at PT. NTT Indonesia Technology network, as a case study. The experimental result shows the proposed SDN successfully performs multiple routers configurations accurately and automatically. Observations on manual configuration takes 50 minutes and automatic configuration takes 6 minutes, thus, the proposed SDN achieves 833.33% improvement.
You know you need to invest in a CRM platform, you just need to invest in the right one for your business.
It sounds easy enough but, with the onslaught of information out there, the decision-making process can be quite convoluted.
In a recent webinar we compared two options – HubSpot’s Sales Hub and Salesforce’s Sales Cloud – and explored ways to help you determine which CRM is better for your business.
Top 5+ Soulmate AI chatbots Platform for 2025Soulmaite
Discover the Top 5+ Soulmate AI Chatbot Platforms for 2025, including Soulmaite IO, Sugarlab AI, Pornify, Omypal, and Candy AI. These AI companions offer realistic chat, intimacy, and emotional bonding tailored to your preferences. Whether you want playful sexting or deep connection, these platforms deliver lifelike interactions without judgment. Find out which AI chatbot matches your vibe and experience the future of digital companionship today.
Autopilot for Everyone Series - Session 3: Exploring Real-World Use CasesUiPathCommunity
Welcome to 'Autopilot for Everyone Series' - Session 3: Exploring Real-World Use Cases!
Join us for an interactive session where we explore real-world use cases of UiPath Autopilot, the AI-powered automation assistant.
📕 In this engaging event, we will:
- demonstrate how UiPath Autopilot enhances productivity by combining generative AI, machine learning, and automation to streamline business processes
- discover how UiPath Autopilot enables intelligent task automation with natural language inputs and AI-powered decision-making for smarter workflows
Whether you're new to automation or a seasoned professional, don't miss out on this opportunity to transform your approach to business automation.
Register now and step into the future of efficient work processes!
📢 UiPath Community Meetup: LLM and UiPath – From AI Center to GenAI Activities & Agents
Join us for an exciting UiPath Community Virtual Meetup where we explore how UiPath is evolving from AI Center towards GenAI, unlocking new possibilities with specialized GenAI activities and AI-powered Agents. Hosted by the Rome Chapter in collaboration with Zurich (and potentially other chapters), this session will provide insights into the latest advancements in AI-driven automation.
📅 17th April 2025 | 🕙 10:30 - 11:30 AM CET
🔥 What’s on the agenda?
From AI Center to LLM-Powered-Automation – Understanding the transition from AI Center to GenAI, DocPath and CommPath.
GenAI Activities in UiPath – Exploring new AI capabilities and how to leverage them effectively.
AI Agents and Agentic Orchestration – A live demo showcasing how LLMs can power intelligent Agents and how they can be effectively orchestrated.
🎤 Speakers:
🔹 Roman Tobler, UiPath MVP, CEO at Routinuum
🔹 Flavio Martinelli, UiPath MVP 2023, Technical Account Manager at UiPath
Whether you’re an automation developer, AI enthusiast, or business leader, this session will help you navigate the next phase of AI-driven automation in UiPath.
An introductory presentation of a short paper with same name in the ICUFN2022: The 13th International Conference on Ubiquitous and Future Networks in Barcelona, Spain. The presentation and paper describes our (Karri Huhtanen, Antti Kolehmainen) initial proposal for distributed multi-factor AAA architecture capable of surviving connectivity disruptions. Together with Tampere University we intended to design, implement and deploy the proposed architecture in practice to ensure its validity, but did not have time to do it.
Introducing Agnetic AI: Redefining Intelligent Customer Engagement for the Future of Business
In a world where data is abundant but actionable insights are scarce, Agnetic AI emerges as a transformative force in AI-powered customer engagement and predictive intelligence solutions. Our cutting-edge platform harnesses the power of machine learning, natural language processing, and real-time analytics to help businesses drive deeper connections, streamline operations, and unlock unprecedented growth.
Whether you're a forward-thinking startup or an enterprise scaling globally, Agnetic AI is designed to automate customer journeys, personalize interactions at scale, and deliver insights that move the needle. Built for performance, agility, and results, this AI solution isn’t just another tool—it’s your competitive advantage in the age of intelligent automation.
The Gold Jacket Journey - How I passed 12 AWS Certs without Burning Out (and ...VictorSzoltysek
Only a few hundred people on the planet have done this — and even fewer have documented the journey like this.
In just one year, I passed all 12 AWS certifications and earned the ultra-rare AWS Gold Jacket — without burning out, without quitting my job, and without wasting hours on fluff.
My secret? A completely AI-powered study workflow using ChatGPT, custom prompts, and a technique I call DeepResearch — a strategy that pulls high-signal insights from Reddit, blogs, and real-world exam feedback to shortcut the noise and fast-track what actually matters.
This is the slide deck from my live talk — it breaks down everything:
✅ How I used ChatGPT to quiz, explain, and guide me
✅ How DeepResearch helped me prioritize the right content
✅ My top 80/20 study tips, service-specific rules of thumb, and real-world exam traps
✅ The surprising things that still trip up even experienced cloud teams
If you’re considering AWS certifications — or want to learn how to study smarter using AI — this is your blueprint.
real time ai agent examples | AI agent developmentybobbyyoung
🚀 10 Real-World AI Agent Examples That Are Changing How We Work in 2025
Discover how AI agents are simplifying workflows, boosting productivity, and transforming industries — from customer support to HR, IT, finance, and more!
This presentation breaks down real-world use cases of AI agents and shows how your business can benefit from custom-built AI solutions.
🎯 Built by Shamla Tech – Your Trusted AI Agent Development Partner
✅ Easy Integration
✅ One-Time Ownership
✅ Tailored for Your Business
✅ Free Demo & Consultation
ISTQB Foundation Level – Chapter 4: Test Design Techniqueszubair khan
This presentation covers Chapter 4: Test Design Techniques from the ISTQB Foundation Level syllabus. It breaks down core concepts in a simple, visual, and easy-to-understand format — perfect for beginners and those preparing for the ISTQB exam.
✅ Topics covered:
Static and dynamic test techniques
Black-box testing (Equivalence Partitioning, Boundary Value Analysis, Decision Tables, State Transition Testing, etc.)
White-box testing (Statement and Decision coverage)
Experience-based techniques (Exploratory Testing, Error Guessing, Checklists)
Choosing appropriate test design techniques based on context
🎓 Whether you're studying for the ISTQB certification or looking to strengthen your software testing fundamentals, these slides will guide you through the essential test design techniques with clarity and real-world relevance.
Transcript - Delta Lake Tips, Tricks & Best Practices (1).pdfcarlyakerly1
This session takes you back to the core principles for for successfully utilizing and operating Delta Lake. We break down the fundamentals—Delta Lake’s structure, transaction management, and data retention strategies—while showcasing its powerful features like time travel for seamless rollback and vacuuming for efficient cleanup.
Demonstrations will teach you how to create and manage tables, execute transactions, and optimize performance with proven techniques. Walk away with a clear understanding of how to harness Delta Lake’s full potential for scalable, reliable data management.
Speakers: Scott Haines (Nike) & Youssef Mirini (Databricks)
YouTube video: https://www.youtube.com/live/O8_82Cu6NBw?si=--4iJL1NkzEPCBgd
Slide deck from presentation: https://www.slideshare.net/slideshow/delta-lake-tips-tricks-and-best-practices-wip-pptx/277984087
Jeremy Millul - A Junior Software DeveloperJeremy Millul
Jeremy Millul is a junior software developer specializing in scalable applications. With expertise in databases like MySQL and MongoDB, Jeremy ensures efficient performance and seamless user experiences. A graduate of NYU, and living in Rochester, NY, with a degree in Computer Science, he also excels in frameworks such as React and Node.js. Jeremy’s commitment to delivering robust, high-quality solutions is matched by his dedication to staying ahead in the ever-evolving tech landscape.
"Collab Space is an innovative collaboration platform designed to streamline teamwork, foster creativity, and enhance productivity. Whether you're working with colleagues, clients, or partners, Collab Space provides the tools you need to communicate effectively, manage projects effortlessly, and collaborate in real time—from anywhere in the world."
GDG Cincinnati presentation by Ben Hicks, April 16, 2024.
As AI continues to permeate our industry, it's crucial to consider how it will reshape the way both seasoned and new developers learn, code, and create. This presentation offers a candid look at the evolving landscape – the opportunities, challenges, and the imperative for continuous adaptation. Let's explore the good, the bad, and the ugly of AI's influence on development, and discuss how we can best utilize what it has to offer while avoiding the snake oil.
Autopilot for Everyone Series Session 2: Elevate Your Automation SkillsUiPathCommunity
📕 This engaging session will include:
Quick recap of Session 1: refresh your knowledge and get ready for what's next
Hands-on experience: import prebuilt automations to fast-track your automation journey with practical insights
Build your own tools: dive into creating tailored automation solutions that meet your specific needs
Live Q&A with experts: engage directly with industry experts and get your burning questions answered
👉 Register to our next Autopilot for Everyone Series - Session 3: Exploring Real-World Use Cases: https://bit.ly/4cMgC8F
Don't miss this unique opportunity to enhance your skills and connect with fellow automation enthusiasts. RSVP now to secure your spot and bring a friend along! Let's make automation accessible and exciting for everyone.
This session streamed live on April 17, 2025, 18:00 GST.
Check out our upcoming UiPath Community sessions at https://community.uipath.com/events/.
"Smarter, Faster, Autonomous: A Deep Dive into Agentic AI & Digital Agents"panktiskywinds12
Discover how Agentic AI and AI Agents are revolutionizing business automation. This presentation introduces the core concepts behind machines that can plan, learn, and act autonomously—without constant human input.
Learn what makes an AI Agent more than just a bot, and explore their real-world applications in customer support, supply chains, finance, and marketing. We’ll also cover the challenges businesses must navigate and how to get started with frameworks.
Monitor Kafka Clients Centrally with KIP-714Kumar Keshav
Apache Kafka introduced KIP-714 in 3.7 release, which allows the Kafka brokers to centrally track client metrics on behalf of applications. The broker can subsequently relay these metrics to a remote monitoring system, facilitating the effective monitoring of Kafka client health and the identification of any problems.
KIP-714 is useful to Kafka operators because it introduces a way for Kafka brokers to collect and expose client-side metrics via a plugin-based system. This significantly enhances observability by allowing operators to monitor client behavior (including producers, consumers, and admin clients) directly from the broker side.
Before KIP-714, client metrics were only available within the client applications themselves, making centralized monitoring difficult. With this improvement, operators can now access client performance data, detect anomalies, and troubleshoot issues more effectively. It also simplifies integrating Kafka with external monitoring systems like Prometheus or Grafana.
This talk covers setting up ClientOtlpMetricsReporter that aggregates OpenTelemetry Protocol (OTLP) metrics received from the client, enhances them with additional client labels and forwards them via gRPC client to an external OTLP receiver. The plugin is implemented in Java and requires the JAR to be added to the Kafka broker libs.
Be it a kafka operator or a client application developer, this talk is designed to enhance your knowledge of efficiently tracking the health of client applications.
This vibrant Medium blog post explores **Doctronic**, a New York-based healthcare AI startup that raised **$5 million in seed funding** to revolutionize medical advice. Founded by Matt Pavelle and Adam Oskowitz, Doctronic uses **specialized AI agents** and 24/7 telehealth to deliver fast, accurate, and anonymous health guidance, challenging “Dr. Google.” Backed by Union Square Ventures, the startup stands out with its consumer-first approach and multi-agent AI system. The post highlights Doctronic’s mission, technology, competitive edge, and future plans, making it a must-read for healthcare and tech enthusiasts.
Manufacturing organizations are under constant pressure to streamline operations, improve agility, and make better use of the data they already have. Yet, many teams still struggle with disconnected systems and fragmented information that slow decision-making and reduce productivity. This webinar explores how AI-powered search and structured metadata can address these challenges by making enterprise data more accessible, actionable, and aligned with business needs.
Participants will gain practical insights into how modern search technologies are being applied to unify data across platforms, improve findability, and surface hidden insights—all without replacing core systems. Whether you're responsible for IT infrastructure, operations, or digital transformation, this session offers strategies to reduce friction and get more value from your existing information ecosystem.
Key Topics Covered:
The realities of managing disparate data in manufacturing and business operations
Leveraging AI to improve data discoverability and support better decision-making
Using structured metadata to unlock insights from existing platforms
Strategies for deploying intelligent search solutions across enterprise systems
"It's not magic, folks. It really does need that data. Now, what we can do is we can accelerate this. We can accelerate the derivation of an information architecture product, data architecture, content architecture, knowledge architecture, and apply it to the content, to the product data, to whatever it is."- Seth Earley
"You can have the best systems in the world, but if your teams are still spending hours finding specs and product data, that investment all just sits there idle." - Crys Black
Design and testing of systolic array multiplier using fault injecting schemes
1. Computer Science and Information Technologies
Vol. 3, No. 1, March 2022, pp. 1~9
ISSN: 2722-3221, DOI: 10.11591/csit.v3i1.pp1-9 1
Journal homepage: http://iaesprime.com/index.php/csit
Design and testing of systolic array multiplier using
fault injecting schemes
Kurada Verra Bhoga Vasantha Rayudu1
, Dhananjay Ramachandra Jahagirdar1
, Patri Srihari Rao2
1
Scientist’G’Head, Reliability Engineering Division, Research Centre Imarat, Kurmalguda, India
2
Department of Electronics and Communication Engineering, NIT Warangal, Hanamkonda, India
Article Info ABSTRACT
Article history:
Received Aug 20, 2021
Revised Dec 12, 2021
Accepted Jan 26, 2022
Nowadays low power design circuits are major important for data
transmission and processing the information among various system designs.
One of the major multipliers used for synchronizing the data transmission is
the systolic array multiplier, low power designs are mostly used for
increasing the performance and reducing the hardware complexity. Among
all the mathematical operations, multiplier plays a major role where it
processes more information and with the high complexity of circuit in the
existing irreversible design. We develop a systolic array multiplier using
reversible gates for low power appliances, faults and coverage of the
reversible logic are calculated in this paper. To improvise more, we
introduced a reversible logic gate and tested the reversible systolic array
multiplier using the fault injection method of built-in self-test block observer
(BILBO) in which all corner cases are covered which shows 97% coverage
compared with existing designs. Finally, Xilinx ISE 14.7 was used for
synthesis and simulation results and compared parameters with existing
designs which prove more efficiency.
Keywords:
BILBO
BIST
MISR
Reversible gates
SAM
This is an open access article under the CC BY-SA license.
Corresponding Author:
Kurada Verra Bhoga Vasantha Rayudu
Scientist’G’Head, Reliability Engineering Division, Research Centre Imarat
Vignyanakancha Po, Hyderabad-500069, Kurmalguda, India
Email: kvbvr1@gmail.com
1. INTRODUCTION
Many multipliers are used to achieve low power and high-speed performance, In DSP systems, most
of the DSP applications are designed for power dissipation and components used as multipliers [1]–[5] and to
perform various high-speed operations multiplications play a major role in winding up the design. Mainly
multiplication is an algorithm used at a structural level. Multi-dimension multiplication is done by the
systolic array multipliers, those multipliers are a sequence of channels and it’s a pipe lining process with a
linear arrangement. When the multiplication process happens, it stores the information itself and processes it
to the next pipeline level, and maintains a pipelining process, each block of the systolic array multiplier is
fixed and looks similar. The simultaneous process performs in systolic arrays which increases the speed of
the system and reduces the processing time with perfect efficiency of the output. Systolic array Multipliers
are used for sorting and convolution techniques.
In this paper, we developed a systolic array design with the new model gate which decreases the
delay and increases the speed of the operation, first of the multiplicand and multiplier are arranged in an
array structure, and from the both of each bit is collected and do multiplicand, and its processes to the later
pipeline stage, partial products, and carry generation done in the later stages. From the statement of the great
scientist Landauer energy is dissipated at each bit of lost when transmits data with a particular amount of
energy, the basic formula for calculating the loss of each bit of energy dissipated as KT*log2, T defines
2. ISSN: 2722-3221
Comput Sci Inf Technol, Vol. 3, No. 1, March 2022: 1-9
2
absolute temperature and K Defines Boltzmann’s Constant. Reversible logic proved that we can minimize the
dissipation of the heat by Charles Bennet [6], [7]. Reversible design is the future for developing circuits for
low power and high-speed operations with very few system designs used. The main structures of the
reversible gates are designed in such a way that the number of inputs is equal to the number of outputs. By
this, it improves the overall performance of the systems [8]–[10]. In this paper systolic array multiplier is
designed using reversible technology; it means all the components of the design use reversible gates to
achieve the low power targets. Most of the system designs are being developed by reversible gates but testing
was more complex and to reach the time to market it depends on the way of testing.
In the existing paper [11], [12] developed the systolic array multiplier with reversible gates, and
proposed a multiplier for 4x4 systolic array design which calculates partial products and passes the partial
products for carrying select generation, the testing to be done but simulated the design using the design tools
and verified only parts of the design through simulations. In this paper [13], [14] they have proposed a new
level of testing using BILBO logic where we can find the number of faults, but they have tested for Baugh
Wooley multiplier designs. Most of the Baugh Wooley designs are used for high-speed operations, and also
when we change the increased number of the bits for operations, we required more logic for the testing and
implementation. The researchers [15], [16] addressed fault analysis techniques for computing multipliers by
reviewing different methodologies of converting matrix algorithms to a predefined systolic array designs and
then introduces array structure of the systolic part designs which was originally designed by the Lang and
Moreno. Morghade et al. [17] Proved the design was correct by using the simulations and all the logic that
implemented was algorithms for multiplication, division and direct multiplications methods, have examined
various methods of testing they come up with LFSR technique which generates the random number of values
for testing and applied and got succeeded and then moved for shift register designs which actually increases
the area of the chip. The researchers [18]–[20] proposes a new method of approach for reducing the power
consumption on an irreversible array multiplier and also using the reversible logic designs for the systolic
array multiplier designs, which they expected to get high-end of the efficiency of the output in which
compared with existing they end up with good results and also tested with 90ns CMOS nanometer
technology. The researchers [21]–[24] which comes over a GF has made a bright application over the
security of the multiplications and developed systolic array multiplier design over GF multiplier designs with
full pattern generator using a six-bit counter and generate number of patterns required for the testing of the
system designs for GF multiplier designs where it increases delay in the circuit and in the proposed system,
we have overcome the issue of the delay removal of GF in the proposed system. The Proposed system of the
research is to design an advanced systolic array multiplier with a new modified gate and test using fault
injection method using BILBO logic for generating different patterns of test vectors.
2. RESEARCH METHOD
Nowadays many low-power applications use reversible gate designs for low area and power.
Because the logic present in reversible gates like no of input variables is equal to the number of output
variables [25] where the utilization of power is used equally for fan outs, it is used for low power relevance
designs. Quantum cost also reduces with the main logic involved in reversible designs. The majority plays an
important role in reducing power dissipation due to the garbage and constant inputs used, when the circuit
has garbage outputs power utilization is reduced due to which power loss is less. Reversible logic design
selected for the project for low power dissipation and the reversible gate has been modified and is used for
full adder design circuit, namely modified Islam gate shown in Figures 1 and 2. Modified Islam gate has 4
inputs and 4 outputs which output reflect as full adder model designs usage.
We have used controlled operational gate design which is used for getting full adder to carry select
block, COG gate has now inputs and outs are equal i.e., 3, where logic completely depends on the second and
third input variables, based on the status of that variables logic changes and works for full adder carrier
output. Mostly COG reversible designs used for low power circuits in DSP Application for having the
number of multiplier designs to get partial products intern to get resultant carry generation blocks, in our
project we defined for the usage of carrier output.
Figure 1. Reversible modified Islam gate Figure 2. Reversible controlled operational gate
3. Comput Sci Inf Technol ISSN: 2722-3221
Design and testing of systolic array multiplier using fault … (Kurada Verra Bhoga Vasantha Rayudu)
3
By Integrating the above two reversible gates MIG and COG we get a complete adder and
subtractor, which is used for systolic array multiplication, in systolic array multiplication it is used for
multiplication, the process will be briefed in section 2.2. Mostly reversible full adder in Figure 3 plays a
major role in any of the applications like video, medical, and many digital world systems
Figure 3. Reversible full adder /full subtractor
2.1. Systolic array multiplier cell block
Systolic array multiplier cell block is the special block for multiplication operation in which
integration of sub designs like COG, MIG, and a complete formation of full adder block used for getting the
resultant of the partial product of the design. For the function of the gate, the operation used the Toffoli gate
which perfectly fits to reduce the power of the circuit. Multiplier cell block starts by taking individual bits of
each of the Toffoli gate block as multiplicand and multiplier and generates the partial products with the usage
of the reversible full adder design block. It is also a pipelining process in the systolic array multiplication
model. Proposed full adder using reversible gates used for generating resultant and carry. Many of the
instances of the block are used for reducing the coding of the design and re-use method performed, when one
gets inputs other will be in the processing stage, and the Same way the process continues whole instances
gets inputs and generate sums and carries. We are using a 4-bit multiplication process in which 16 multiplier
cells are used for getting the full results of the systolic array multiplier. All the operations will be in the
pipeline process and scheduled with each block to perform to get the value of the assigned bit and send to the
other block and vice versa. Need to be very careful at the time of integrating the output of one block carry to
the other multipliers cell block as shown in Figure 4, it may mislead the design for the wrong operation, it
should be according to Endian format righted to left addition or connecting of the designs to the previous
block of the carry bit.
Figure 4. Multiplier cell block
2.2. System design & testing method
Proposed system systolic array multiplier design and testing are to verify the multiplier corner cases
as it is very complexing in finding the faults and compare the faults with existing system designs and
improvement over the area, speed, power and find the faults. The proposed system mainly consists of four
main blocks DUT, GRM, BILBO, and a checker as shown in Figure 5. Design under test which is proposed
systolic array design, where mainly multiplication process goes on, Systolic Array multiplier developed using
reversible gates and compared to the existing design we have proposed a new gate which performs faster than
existing systolic array multiplier design. Multiple data bits are used for multiplication purposes. Mainly in
systolic array multiplier design consists of 4 stages, whereas in 3 stages carry generated by the multiplier cell
blocks were moved to the other stage multiplier cellblock design, whereas in stage 4 side by side the carrier
moves to generate the final results of the multiplier block. Golden reference models are used for many of the
4. ISSN: 2722-3221
Comput Sci Inf Technol, Vol. 3, No. 1, March 2022: 1-9
4
testing and verification SOC designs, GRM the coding part can be user-defined and it can be of any
language, but it should work exactly as DUT, In this project reference model is taken as VHDL model for
easy understanding of the flow of the multiplier at each stage of the block, when BIBLO generates the
patterns, GRM also picks up the values and used for generating the outputs, the main focus is to get injected
with the sum of the faults into the reference design, with the BILBO logic to get compared with the signature
values to get the exact faults where has injected.
Figure 5. The proposed system with DUT and all required components
DUT Circuit which is used for testing could be placed in middle of the BILBOs, which are mostly
working in the relevant modes as Linear feedback shift register and MISR modes. To test the circuit of SAM,
a 4-bit multiplier design and an 8-bit BILBO were used. YAG gate design [26] is used for generating sum
and product terms simultaneously. Input signal always in SCAN Mode If the BILBO uses LFSR mode, it
generates the no of patterns required for the multiplier and the multiplier takes the inputs and intakes the
output to the BILBO, which performs the operations to generate the signature like MISR Mode. If there is a
signature produced for no-fault injection circuits called a good signature. Now the process begins will inject
the faults in the design and generate the LFSR mode and gets patterns and generates the signature and that
signature compares with the existing signature. If both matches, it proves testing did not happen correctly or
fault is not identified by BILBO, if not BILBO detected fault. Checkers are most common in verification
areas; checkers are named as scoreboard logics in which the two different data received from two blocks are
to be compared and verified whether matched or mismatched to get the resultant of usage of DUT. Checkers
are coded in the environment and tested the SAM circuit by injecting faults and by not injecting faults. In this
project, a comparison is done between GRM and DUT outputs and storing the resultant for future usage.
As the process starts BILBO starts generating the patterns using modes, those patterns carried out
within the environment and given to reversible systolic array multiplier, it processes the number of patterns it
receives as it works as a pipeline stage multiplier, it generates the resultant and gives to the checker logic
whereas simultaneous process happens in reference model used and also BILBO starts generating patterns at
the same time, from the environment we are injecting the faults, one time stuck at 0/1 fault injected, and we
see resultant is wrong than expected as in the Same BILBO logic gives a significant value as false, then the
design will be corrected if BILBO passes as good signature it is failed to verify the design, hence the design
should be modified depends on logic preferred.
Hence, the process of testing continues with various injections of faults, and results are compared
using a checker. According to the research, many BIST architectures had been proposed but BILBO has
played a vital role in the present generation as in SAM Project, we can configure it as an input generation of
patterns in a full environment as shown in Figure 6, and also can be configured as output analyzer.
Depending on the selection of inputs like b1 and b2, the mode can be selected. Various fault models
discussed in [27]–[29] Compare to all techniques BIST technique is more popular because of its low power
and less time of execution, complex designs also get testing done very fast, BILBO called LOGIC BIST
because of using BIST as the main component in it and used for operating modes. Mainly in this project, a
reversible multiplier is used for testing using the reversible BILBO logic applied for finding two main faults
SAF, MSAF, and MGF faults of the design. Stuck at faults are rare faults that occur in designs and can be
more complexes to find the faults whether to zero or one, Multiple stuck at faults also a rare finding of faults
in conventional designs and Missing gate fault changes the output of the design, finding these types of faults
are the most important nowadays to make fault free system designs [30], [31].
5. Comput Sci Inf Technol ISSN: 2722-3221
Design and testing of systolic array multiplier using fault … (Kurada Verra Bhoga Vasantha Rayudu)
5
Figure 6. Full environment and testing with proposed systolic array multiplier using fault injection schemes
3. RESULTS AND DISCUSSION
Figure 7 shows the systolic array multiplier resultant using the new modified Islam gate and the
resultant can be calculated from the below fig as 1111*1111=011100001. From Figure 8 we can say that
various patterns have been generated for the Sam circuit which gets resultant true as it is mentioned in
decimal 14*15=210. Internal blocks of the design gates output resultant are shown in Figure 9.
In Figure 10, the concept of injection logic tried to inject the faults by missing some of the gates in
the design which resulted in missing gate fault but here we can see the output does not break because of the
reversible logic gates usage. Figure 11 shows the pattern generated from BILBO logic of LFSR mode, which
generates random patterns as shown.
From Figure 12 and comparison values generated from the BILBO logic which proves stuck at fault
findings at nearest value, as the design gets tested and compared with the existing signature after injecting
faults.
Figure 7. Resultant of reversible systolic array multiplier using pattern generator from BILBO logic design
Figure 8. Resultant systolic array multiplier
Figure 9. Resultant of SAM internal blocks COG and MIG gates
6. ISSN: 2722-3221
Comput Sci Inf Technol, Vol. 3, No. 1, March 2022: 1-9
6
Figure 10. Resultant of missing gate fault
Figure 11. Resultant of BILBO LFSR mode
Figure 12. Resultant of BILBO MISR mode signature comparison
Finding test vector of the resultant at stuck at 0/1 is FAILED----The output is correct at required places
x1=1, x2=0, x3=0, x4=1, x5=0, scan_in=1, out=1, 3100
Finding test vector of the resultant at stuck at 0/1 is PASSED
x1=0, x2=0, x3=1, x4=0, x5=0, scan_in=0, out=0, 3200
Finding test vector of the resultant at stuck at 0/1 is FAILED----The output is correct at required places
x1=1, x2=0, x3=0, x4=0, x5=0, scan_in=0, out=0, 3300
Finding test vector of the resultant at stuck at 0/1 is FAILED----The output is correct at required places
x1=0, x2=1, x3=1, x4=0, x5=0, scan_in=0, out=1, 3400
Finding test vector of the resultant at stuck at 0/1 is PASSED
x1=1, x2=0, x3=0, x4=1, x5=0, scan_in=1, out=0, 3500
Finding test vector of the resultant at stuck at 0/1 is FAILED----The output is correct at required places
x1=0, x2=0, x3=1, x4=0, x5=0, scan_in=0, out=1, 3600
Finding test vector of the resultant at stuck at 0/1 is PASSED
x1=1, x2=0, x3=0, x4=0, x5=0, scan_in=0, out=0, 3700
Finding test vector of the resultant at stuck at 0/1 is FAILED----The output is correct at required places
x1=0, x2=1, x3=1, x4=0, x5=0, scan_in=0, out=0, 3800
Finding test vector of the resultant at stuck at 0/1 is FAILED----The output is correct at required places
7. Comput Sci Inf Technol ISSN: 2722-3221
Design and testing of systolic array multiplier using fault … (Kurada Verra Bhoga Vasantha Rayudu)
7
x1=1, x2=0, x3=0, x4=1, x5=0, scan_in=1, out=1, 3900
Finding test vector of the resultant at stuck at 0/1 is PASSED
x1=0, x2=0, x3=1, x4=0, x5=0, scan_in=0, out=0, 4000
Table 1 and Table 2 have been shown a comparison of different multipliers for fault analysis of
conventional and proposed design and also fault analysis at stuck-at faults, table values are collected using
synthesis process of Xilinx ISE, where we have used vertex family for FPGA designs and improved the
execution time unit.
Table 1. Comparison of multipliers from BILBO logic
Fault analysis Conventional multiplier [10] Proposed multiplier
Good signature 200 200
No of faults 138 138
No of faults detected 130 134
Fault coverage 96% 97%
Table 2. Comparison of multipliers after synthesizing the design using XILINX ISE 14.7
Local utilization Conventional multiplier [10] Proposed multiplier
No of slices 76.11% 70.2%
No of 4 input LUTs 26% 25%
Time delays 28.24% 28%
Area covered 75% 68%
4. CONCLUSION
Compared to the existing system designs, we proved that the design of the modified gate of systolic
array multiplier design works faster because of reversible gate which has equal no of inputs and outputs
which process the information faster and used for many low power high-speed applications. There is much
scope to optimize the designs using the new reversible gates implementation. The proposed MIG gate
reduces the gate count by 10% compared to the conventional designs and all other parameters to optimization
mark. Most efficient testing was also done for SAM circuit to find the convenient faults as SAF and MGF
preferably, we achieved coverage of patterns generation tested as 100%. Moreover, BILBO logic is
implemented and is used for finding various faults for various system designs. Fault coverage using BILBO
logic achieved 97% higher than the convention system designs. Future designs of SOC or subsystems can
integrate and use for the detection of fault blocks of the design.
ACKNOWLEDGEMENTS
The authors would like to thank Shri B H V S N Murthy, DS &Director, RCI and Dr. Bheema Rao,
HOD, ECE Dept., Present HOD Dept ECE Prof L Anjaneyulu and also DRC members NITW for their
constant encouragement, valuable suggestions, and support for carrying out this work as a part of my Ph.D.
work.
REFERENCES
[1] C. Madhulika, V. S. P. Nayak, C. Prasanth, and T. H. S. Praveen, “Design of systolic array multiplier circuit using reversible
logic,” 2017 2nd IEEE International Conference on Recent Trends in Electronics, Information & Communication Technology
(RTEICT). IEEE, 2017, doi: 10.1109/rteict.2017.8256883.
[2] V. Shiva Prasad Nayak, G. Prasad, K. Dedeepya Chowdary, and K. Manjunatha Chari, “Design of compact and low power
reversible comparator,” 2015 International Conference on Control, Instrumentation, Communication and Computational
Technologies (ICCICCT). IEEE, 2015, doi: 10.1109/iccicct.2015.7475241.
[3] R. Landauer, “Irreversibility and Heat Generation in the Computing Process,” IBM Journal of Research and Development, vol. 5,
no. 3, pp. 183–191, 1961, doi: 10.1147/rd.53.0183.
[4] C. H. Bennett, “Logical Reversibility of Computation,” IBM Journal of Research and Development, vol. 17, no. 6, pp. 525–532,
1973, doi: 10.1147/rd.176.0525.
[5] M. A. C. K. S. Ganesh Kumar1, J. Deva Prasannam2, “Analysis of Low Power, Area and High Speed Multipliers for DSP
Applications,” International Journal of Emerging Technology and Advanced Engineering, vol. 4, no. 3, 2014.
[6] S. A. Mozhi and P. Ramya, “Efficient bit-parallel systolic multiplier over GF (2m),” 2016 International Conference on Electrical,
Electronics, and Optimization Techniques (ICEEOT). IEEE, 2016, doi: 10.1109/iceeot.2016.7755632.
[7] S. E. Mathe and L. Boppana, “Bit‐parallel systolic multiplier over for irreducible trinomials with ASIC and FPGA
implementations,” IET Circuits, Devices & Systems, vol. 12, no. 4, pp. 315–325, 2018, doi: 10.1049/iet-cds.2017.0426.
[8] M. F. Abdulla, C. P. Ravikumar, and A. Kumar, “Hybrid testing schemes based on mutual and signature testing,” Proceedings
Eleventh International Conference on VLSI Design. IEEE Comput. Soc, doi: 10.1109/icvd.1998.646621.
8. ISSN: 2722-3221
Comput Sci Inf Technol, Vol. 3, No. 1, March 2022: 1-9
8
[9] M. F. Abdulla, C. P. Ravikumar, and A. Kumar, “A novel BIST architecture with built-in self check,” Proceedings of 9th
International Conference on VLSI Design. IEEE Comput. Soc. Press, doi: 10.1109/icvd.1996.489455.
[10] Y. R. Babu and Y. Syamala, “Implementation and testing of multipliers using reversible logic,” 3rd International Conference on
Advances in Recent Technologies in Communication and Computing (ARTCom 2011). IET, 2011, doi: 10.1049/ic.2011.0073.
[11] Z. Cai, Y. Wang, S. Liu, K. Lv, and Z. Wang, “A Novel BIST Algorithm for Low-Voltage SRAM,” 2019 IEEE International Test
Conference in Asia (ITC-Asia). IEEE, 2019, doi: 10.1109/itc-asia.2019.00036.
[12] I. Pomeranz, “Storage Based Built-In Test Pattern Generation Method for Close-to-Functional Broadside Tests,” 2020 IEEE 26th
International Symposium on On-Line Testing and Robust System Design (IOLTS). IEEE, 2020, doi:
10.1109/iolts50870.2020.9159705.
[13] A. Vuksic and K. Fuchs, “A new BIST approach for delay fault testing,” Proceedings of European Design and Test Conference
EDAC-ETC-EUROASIC. IEEE Comput. Soc. Press, doi: 10.1109/edtc.1994.326863.
[14] P. M. K. B. K. Saptalakar, Deepak kale, Mahesh Rachannavar, “Design and Implementation of VLSI Systolic Array Multiplier for
DSP Applications,” International Journal of Scientific Engineering and Technology, vol. 2, no. 3, pp. 156–159, 2013.
[15] V. S. P. Nayak, N. Ramchander, T. Marandi, and A. V. Krishna, “Analysis and design of low-power reversible BILBO,” 2016
IEEE International Conference on Recent Trends in Electronics, Information & Communication Technology (RTEICT). IEEE,
2016, doi: 10.1109/rteict.2016.7807794.
[16] C.-I. H. Chen and R. Smith, “A self-testing and self-diagnostic systolic array cell for signal processing,” 1991 Proceedings,
International Conference on Wafer Scale Integration. IEEE Comput. Soc. Press, doi: 10.1109/icwsi.1991.151699.
[17] K. Morghade and P. Dakhole, “Design of fast vedic multiplier with fault diagnostic capabilities,” 2016 International Conference
on Communication and Signal Processing (ICCSP). IEEE, 2016, doi: 10.1109/iccsp.2016.7754169.
[18] L. Wang and I. Hartimo, “Systolic array for binary multiplier,” Proceedings of ICSIPNN ’94. International Conference on Speech,
Image Processing and Neural Networks. IEEE, doi: 10.1109/sipnn.1994.344804.
[19] P. K. Meher and X. Lou, “Low-latency, low-area, and scalable systolic-like modular multipliers for GF (2m
) based on irreducible
all-one polynomials,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 64, no. 2, pp. 399–408, 2017, doi:
10.1109/TCSI.2016.2614309.
[20] S. Talapatra, H. Rahaman, and J. Mathew, “Low Complexity Digit Serial Systolic Montgomery Multipliers for Special Class of
${rm GF}(2^{m})$,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 5, pp. 847–852, 2010, doi:
10.1109/tvlsi.2009.2016753.
[21] J.-J. Lee and G.-Y. Song, “Implementation of a bit-level super-systolic FIR filter,” Proceedings of 2004 IEEE Asia-Pacific
Conference on Advanced System Integrated Circuits. IEEE, doi: 10.1109/apasic.2004.1349450.
[22] F. Gang, “Design of Modular Multiplier Based on Improved Montgomery Algorithm and Systolic Array,” First International
Multi-Symposiums on Computer and Computational Sciences (IMSCCS’06). IEEE, 2006, doi: 10.1109/imsccs.2006.209.
[23] J. Xie, J. J. He, and P. K. Meher, “Low latency systolic montgomery multiplier for finite field GF(2m
) based on pentanomials,”
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 2, pp. 385–389, 2013, doi:
10.1109/TVLSI.2012.2185257.
[24] A. Reyhani-Masoleh, “Comments on ‘low-latency digit-serial systolic double basis multiplier over GF(2m
) using subquadratic
toeplitz matrix-vector product approach,’” IEEE Transactions on Computers, vol. 64, no. 4, pp. 1215–1216, 2015, doi:
10.1109/TC.2015.2401024.
[25] M. O. Esonu, A. J. Al-Khalili, and D. Al-Khalili, “Variations on the theme for designing fault-tolerant systolic array architectures,”
[1991] IEEE Pacific Rim Conference on Communications, Computers and Signal Processing Conference Proceedings. IEEE, doi:
10.1109/pacrim.1991.160693.
[26] J. B. Chacko and P. Whig, “Low Delay Based Full Adder/Subtractor by MIG and COG Reversible Logic Gate,” 2016 8th
International Conference on Computational Intelligence and Communication Networks (CICN). IEEE, 2016, doi:
10.1109/cicn.2016.120.
[27] H. Rahaman, J. Mathew, and D. K. Pradhan, “Test generation in systolic architecture for multiplication over GF (2m
),” IEEE
Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 9, pp. 1366–1371, 2010, doi:
10.1109/TVLSI.2009.2023381.
[28] M. Psarakis, D. Gizopoulos, A. Paschalis, and Y. Zorian, “An effective BIST architecture for sequential fault testing in array
multipliers,” Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146). IEEE Comput. Soc, doi:
10.1109/vtest.1999.766673.
[29] P. Martha, N. Kajal, P. Kumari, and R. Rahul, “An efficient way of implementing high speed 4-Bit advanced multipliers in
FPGA,” 2018 2nd International Conference on Electronics, Materials Engineering & Nano-Technology (IEMENTech). IEEE,
2018, doi: 10.1109/iementech.2018.8465375.
[30] K. Shirane, T. Yamamoto, I. Taniguchi, Y. Hara-Azumi, S. Yamashita, and H. Tomiyama, “Maximum Error-Aware Design of
Approximate Array Multipliers,” 2019 International SoC Design Conference (ISOCC). IEEE, 2019, doi:
10.1109/isocc47750.2019.9078488.
[31] K. Rajesh and G. U. Reddy, “FPGA Implementation of Multiplier-Accumulator Unit using Vedic multiplier and Reversible gates,”
2019 Third International Conference on Inventive Systems and Control (ICISC). IEEE, 2019, doi:
10.1109/icisc44355.2019.9036345.
9. Comput Sci Inf Technol ISSN: 2722-3221
Design and testing of systolic array multiplier using fault … (Kurada Verra Bhoga Vasantha Rayudu)
9
BIOGRAPHIES OF AUTHORS
Kurada Verra Bhoga Vasantha Rayudu graduated from Institution of
Electronics and Telecommunication Engineers (IETE), New Delhi during Dec 1990 and
obtained MS (Electronics &Control) from BITS, Pilani. Served as Scientist up to 2002 at ISRO
Satellite Centre and currently as Scientist at Research Centre Imarat (RCI), DRDO, Hyderabad
in R&QA activities of MRSAM, LRSAM, PDV Mk-02(Mission Shakti ASAT) Missile and
Weapon Systems and related Avionic Systems. Contributed significantly in Parts Management,
Qualification, Testing, Failure Analysis, reliability Analysis & Screening Policy of Electronic
Components & Systems for Aerospace Applications. Planned and Played Key role for ISO
9001:2015 Certification and Aerospace Quality Management System AS 9100:2016
certification to RCI, Hyderabad. His Research Interests include VLSI Testing, VLSI Fault
Simulation, Modeling & Diagnosis, Reliability Analysis, Failure Analysis, Quality
Management System Certifications, applications of ANN, GA, SVM for optimization etc.
Received Lal C Verman Award (2015) from IETE, New Delhi for significant contributions in
Quality & Reliability assurance of Missile Systems. He can be contacted at email:
kvbvr1@gmail.com
Dhananjay Ramachandra Jahagirdar received his B.E. degree in Electronics
Engineering in 1990, from Govt. College of Engineering, Amravati University, Maharashtra,
India. He received M. Tech. in Microwave Engineering in 1992, from Indian Institute of
Technology, Kharagpur, West Bengal, India. He was a Research Assistant at Sponsored
Research and Industrial Consultancy at IIT, Kharagpur. Later, he joined Antenna Products
Division of Electronics Corporation of India Ltd, Hyderabad. He obtained Ph. D. in 1997 from
the Department of Electronics and Computer Science, University of Southampton, UK. He
received scholarship from the Commonwealth Scholarship Commission UK to pursue PhD. He
joined Research Centre Imarat, DRDO, Hyderabad in May 2000. He has won ‘Best Paper
Award’ at the University of Leeds, UK organized by IEEE UKRI section. He has received
Prof. S.K. Mitra memorial award for ‘Best research-oriented paper’ from IETE in 2002. He
received young scientist award at IETE-IRSI International Radar symposium Bangalore in
2005. He also received laboratory scientist of the year award for 2006. He is a Fellow of IETE
and senior member of IEEE, Antennas and Propagation Society and Microwave Theory and
Techniques Society. He is also a member of URSI. Recently he has been listed in Marquis’
Who’s who in the world. His area of interest is microwave antennas and arrays for radars. He
can be contacted at email: DR.Jahagirdar@rcilab.in
Patri Srihari Rao is working as Assoc Prof at NIT Warangal in the dept. of ECE.
His Research interests include RFIC Design, VLSI Testing, Fault Diagnosis Analog/digital IC
design, VLSI Testing Fault Diagnosis Analog/Digital IC Design, DSP Architecture, Analog
LDO’s. He has published numerous technical papers in Reputed international
journals/Presented in Conferences. He has conducted various training courses and
Workshops/Seminars at National/Internal Level and guided many PhD students. He can be
contacted at email: patri@nitw.ac.in