Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/1874620.1874970acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

Sequential logic synthesis using symbolic bi-decomposition

Published: 20 April 2009 Publication History

Abstract

This paper uses under-approximation of unreachable states of a design to derive incomplete specification of combinational logic. The resulting incompletely-specified functions are decomposed to enhance the quality of technology-dependent synthesis. The decomposition choices are computed implicitly using novel formulation of symbolic bi-decomposition that is applied recursively to decompose logic in terms of simple primitives. The ability of BDDs to represent compactly certain exponentially large combinatorial sets helps us to implicitly enumerate and explore variety of decomposition choices improving quality of synthesized circuits. Benefits of the symbolic technique are demonstrated in sequential synthesis of publicly available benchmarks as well as on the realistic industrial designs.

References

[1]
R. L. Ashenhurst. The decomposition of switching functions. Ann. Computation Lab., Harvard University, vol. 29, pages 74--116, 1959.
[2]
J. Baumgartner, H. Mony, V. Paruthi, R. Kanzelman, and G. Janssen. Scalable sequential equivalence checking across arbitrary design transformations. In Proc. ICCD, Oct. 2006, pp. 259--266.
[3]
F. M. Brown. Boolean Reasoning. Kluwer Academic Publishers, Boston, 1990.
[4]
R. E. Bryant. Graph-based algorithms for boolean function manipulation. IEEE TC, C-35(6):677--691, August 1986.
[5]
M. L. Case, A. Mishchenko, and R. K. Brayton. Inductive finding a reachable state-space over-approximation. In IWLS, June 2006, pp. 172--179.
[6]
M. L. Case, A. Mishchenko, and R. K. Brayton. Cut-based inductive invariant computation. In IWLS, June 2008, pp. 172--179.
[7]
M. L. Case, V. N. Kravets, A. Mishchenko, and R. K. Brayton. Merging nodes under sequential observability. In Proc. DAC, June 2008, pp. 540--545.
[8]
J. Cortadella. Timing-driven logic bi-decomposition. IEEE Trams. on CAD, 22(6):675--685, 2003.
[9]
K. T. Cheng and L. A. Entrena. Sequential logic optimization by redundancy addition and removal. In Proc ICCAD, Nov. 1993, pp. 310--315.
[10]
J. Cho, G. Hachtel, E. Macii, M. Poncino, and F. Somenzi. Automatic state decomposition for approximate FSM traversal based on circuit analysis. IEEE TCAD, 15(12):1451--1464, 1996.
[11]
N. Een and N. Sorensson. An extensible SAT-solver. In Proc. SAT, 2003, pp. 502--518.
[12]
C. van Eijk. Sequential equivalence checking based on structural similarities. IEEE TCAD, July 2000, pp. 814--819.
[13]
V. N. Kravets et al. Automated synthesis of limited-switch dynamic logic (LSDL) circuits. Prior Art Database (ip.com), March 2008.
[14]
B. Lin, H. Touati, and R. Newton. Don't care minimization of multi-level sequential networks. In Proc ICCAD, Nov. 1990, pp. 414--417.
[15]
R.-R. Lee, J.-H. Jiang, and W.-L. Hung. Bi-decomposing large Boolean functions via interpolation and satisfiability solving. In Proc. DAC, June 2008, pp. 636--641.
[16]
A. Mishchenko, B. Steinbach and M. Perkowski. An algorithm for bi-decomposition of logic functions. In Proc. DAC, June 2001, pp. 103--108.
[17]
A. Mishchenko, M. L. Case, R. K. Brayton, and S. Jang. Scalable and scalable-verifiable sequential synthesis. In Proc. ICCAD, Nov. 2008, pp. 234--241.
[18]
J. P. Roth and R. Karp. Minimization over boolean graphs. IBM J. Res. and Develop., 6(2):227--238, April 1962.
[19]
T. Sasao and J. Butler. On bi-decomposition of logic functions. In IWLS, June 1997.
[20]
H. Savoj and R. K. Brayton. The use of observability and external don't cares for the simplification of multi-level networks. In Proc. DAC, June 1990, pp. 297--301.
[21]
T. Stanion and C. Sechen. Quasi-algebraic decomposition of switching functions. In Proc. 16th Conference on Advance Research in VLSI, September 1998, pp. 358--367.
[22]
B. Steinbach and A. Wereszczynski. Synthesis of multi-level circuits using EXOR-gates. In Proc. IFIP WG 10.5 - Workshop on Application of the Reed-Muller Expansion in Circuit Design, Japan, 1995, pp. 161--168.
[23]
C. Yang, M. Cieselski, and V. Singhal. BDS: A BDD-based logic optimization system. In Proc. DAC, June 2000, pp. 92--97.

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '09: Proceedings of the Conference on Design, Automation and Test in Europe
April 2009
1776 pages
ISBN:9783981080155

Sponsors

  • EDAA: European Design Automation Association
  • ECSI
  • EDAC: Electronic Design Automation Consortium
  • SIGDA: ACM Special Interest Group on Design Automation
  • The IEEE Computer Society TTTC
  • The IEEE Computer Society DATC
  • The Russian Academy of Sciences: The Russian Academy of Sciences

Publisher

European Design and Automation Association

Leuven, Belgium

Publication History

Published: 20 April 2009

Check for updates

Qualifiers

  • Research-article

Conference

DATE '09
Sponsor:
  • EDAA
  • EDAC
  • SIGDA
  • The Russian Academy of Sciences

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 53
    Total Downloads
  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 26 Sep 2024

Other Metrics

Citations

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media