Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
  • Raji M and Ghavami B. (2018). Redressing fork constraints in nanoscale quasi-delay-insensitive asynchronous pipelines. The Journal of Supercomputing. 74:8. (3820-3840). Online publication date: 1-Aug-2018.

    https://doi.org/10.1007/s11227-017-2056-0

  • Bhadra D and Stevens K. Design of a low power, relative timing based asynchronous MSP430 microprocessor. Proceedings of the Conference on Design, Automation & Test in Europe. (794-799).

    /doi/10.5555/3130379.3130571

  • Wu G and Chu C. Simultaneous slack matching, gate sizing and repeater insertion for asynchronous circuits. Proceedings of the 2016 Conference on Design, Automation & Test in Europe. (1042-1047).

    /doi/10.5555/2971808.2972051

  • Longfield S, Nkounkou B, Manohar R and Tate R. (2015). Preventing glitches and short circuits in high-level self-timed chip specifications. ACM SIGPLAN Notices. 50:6. (270-279). Online publication date: 7-Aug-2015.

    https://doi.org/10.1145/2813885.2737967

  • Longfield S, Nkounkou B, Manohar R and Tate R. Preventing glitches and short circuits in high-level self-timed chip specifications. Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation. (270-279).

    https://doi.org/10.1145/2737924.2737967

  • Wang Z, He X and Sechen C. (2015). A New Approach for Gate-Level Delay-Insensitive Asynchronous Logic. Circuits, Systems, and Signal Processing. 34:5. (1431-1459). Online publication date: 1-May-2015.

    /doi/10.5555/2765121.2765137

  • Casu M and Mantovani P. (2015). A synchronous latency-insensitive RISC for better than worst-case design. Integration, the VLSI Journal. 48:C. (72-82). Online publication date: 1-Jan-2015.

    https://doi.org/10.1016/j.vlsi.2014.01.003

  • Wang Z, He X and Sechen C. TonyChopper. Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design. (446-453).

    /doi/10.5555/2691365.2691457

  • Longfield S and Manohar R. Removing concurrency for rapid functional verification. Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design. (332-339).

    /doi/10.5555/2691365.2691432

  • Najibi M and Beerel P. Slack matching mode-based asynchronous circuits for average-case performance. Proceedings of the International Conference on Computer-Aided Design. (219-225).

    /doi/10.5555/2561828.2561873

  • Sheikh B and Manohar R. (2011). Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits. ACM Journal on Emerging Technologies in Computing Systems. 7:4. (1-26). Online publication date: 1-Dec-2011.

    https://doi.org/10.1145/2043643.2043649

  • Srinivasan S and Katti R. Desynchronization. Proceedings of the International Conference on Formal Methods in Computer-Aided Design. (215-222).

    /doi/10.5555/2157654.2157687

  • Casu M, Colazzo S and Mantovani P. Coupling latency-insensitivity with variable-latency for better than worst case design. Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI. (163-168).

    https://doi.org/10.1145/1973009.1973043

  • Taylor S, Edwards D, Plana L and Tarazona D. (2010). Asynchronous data-driven circuit synthesis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 18:7. (1093-1106). Online publication date: 1-Jul-2010.

    https://doi.org/10.1109/TVLSI.2009.2020168

  • Carmona J, Cortadella J, Kishinevsky M and Taubin A. (2009). Elastic circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 28:10. (1437-1455). Online publication date: 1-Oct-2009.

    https://doi.org/10.1109/TCAD.2009.2030436

  • Singh M and Nowick S. (2007). The design of high-performance dynamic asynchronous pipelines. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 15:11. (1270-1283). Online publication date: 1-Nov-2007.

    https://doi.org/10.1109/TVLSI.2007.902206

  • Singh M and Nowick S. (2007). The design of high-performance dynamic asynchronous pipelines. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 15:11. (1256-1269). Online publication date: 1-Nov-2007.

    https://doi.org/10.1109/TVLSI.2007.902205

  • Chelcea T, Venkataramani G and Goldstein S. Self-resetting latches for asynchronous micro-pipelines. Proceedings of the 44th annual Design Automation Conference. (986-989).

    https://doi.org/10.1145/1278480.1278723

  • Singh M and Nowick S. (2007). MOUSETRAP. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 15:6. (684-698). Online publication date: 1-Jun-2007.

    https://doi.org/10.1109/TVLSI.2007.898732

  • Taubin A, Cortadella J, Lavagno L, Kondratyev A and Peeters A. (2007). Design automation of real-life asynchronous devices and systems. Foundations and Trends in Electronic Design Automation. 2:1. (1-133). Online publication date: 1-Jan-2007.

    https://doi.org/10.1561/1000000006

  • Colmenar J, Garnica O, Lanchares J, Hidalgo J, Miñana G and Lopez S. Sim-async. Proceedings of the 12th international conference on Parallel Processing. (495-505).

    https://doi.org/10.1007/11823285_51

  • Lee J, Choi E and Cho K. Design of asynchronous embedded processor with new ternary data encoding scheme. Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation. (395-405).

    https://doi.org/10.1007/11796435_40

  • Peng S and Manohar R. Yield enhancement of asynchronous logic circuits through 3-dimensional integration technology. Proceedings of the 16th ACM Great Lakes symposium on VLSI. (159-164).

    https://doi.org/10.1145/1127908.1127947

  • Hasasneh N, Bell I and Jesshope C. Scalable and partitionable asynchronous arbiter for micro-threaded chip multiprocessors. Proceedings of the 19th international conference on Architecture of Computing Systems. (252-267).

    https://doi.org/10.1007/11682127_18

  • Reese R, Thornton M and Traver C. (2005). A Coarse-Grain Phased Logic CPU. IEEE Transactions on Computers. 54:7. (788-799). Online publication date: 1-Jul-2005.

    https://doi.org/10.1109/TC.2005.105

  • McGee P and Nowick S. A lattice-based framework for the classification and design of asynchronous pipelines. Proceedings of the 42nd annual Design Automation Conference. (491-496).

    https://doi.org/10.1145/1065579.1065707

  • Gulati G and Brunvand E. Design of a cell library for asynchronous microengines. Proceedings of the 15th ACM Great Lakes symposium on VLSI. (385-389).

    https://doi.org/10.1145/1057661.1057753

  • Peng S, Fang D, Teifel J and Manohar R. Automated synthesis for asynchronous FPGAs. Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays. (163-173).

    https://doi.org/10.1145/1046192.1046214

  • Ekanayake V, Kelly C and Manohar R. (2004). An ultra low-power processor for sensor networks. ACM SIGOPS Operating Systems Review. 38:5. (27-36). Online publication date: 1-Dec-2004.

    https://doi.org/10.1145/1037949.1024397

  • Ekanayake V, Kelly C and Manohar R. (2004). An ultra low-power processor for sensor networks. ACM SIGARCH Computer Architecture News. 32:5. (27-36). Online publication date: 1-Dec-2004.

    https://doi.org/10.1145/1037947.1024397

  • Ekanayake V, Kelly C and Manohar R. (2004). An ultra low-power processor for sensor networks. ACM SIGPLAN Notices. 39:11. (27-36). Online publication date: 1-Nov-2004.

    https://doi.org/10.1145/1037187.1024397

  • Teifel J and Manohar R. (2004). An Asynchronous Dataflow FPGA Architecture. IEEE Transactions on Computers. 53:11. (1376-1392). Online publication date: 1-Nov-2004.

    https://doi.org/10.1109/TC.2004.88

  • Ekanayake V, Kelly C and Manohar R. An ultra low-power processor for sensor networks. Proceedings of the 11th international conference on Architectural support for programming languages and operating systems. (27-36).

    https://doi.org/10.1145/1024393.1024397

  • Fazel K, Li L, Thornton M, Reese R and Traver C. Performance enhancement in phased logic circuits using automatic slack-matching buffer insertion. Proceedings of the 14th ACM Great Lakes symposium on VLSI. (413-416).

    https://doi.org/10.1145/988952.989051

  • Teifel J and Manohar R. Highly pipelined asynchronous FPGAs. Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays. (133-142).

    https://doi.org/10.1145/968280.968300

  • Melhem R, Mossé D and Elnozahy E. (2004). The Interplay of Power Management and Fault Recovery in Real-Time Systems. IEEE Transactions on Computers. 53:2. (217-231). Online publication date: 1-Feb-2004.

    https://doi.org/10.1109/TC.2004.1261830

  • Talpes E and Marculescu D. A critical analysis of application-adaptive multiple clock processors. Proceedings of the 2003 international symposium on Low power electronics and design. (278-281).

    https://doi.org/10.1145/871506.871576

  • Wong C and Martin A. High-level synthesis of asynchronous systems by data-driven decomposition. Proceedings of the 40th annual Design Automation Conference. (508-513).

    https://doi.org/10.1145/775832.775962

  • Pénzes P, Nyström M and Martin A. Transistor sizing of energy-delay--efficient circuits. Proceedings of the 8th ACM/IEEE international workshop on Timing issues in the specification and synthesis of digital systems. (126-133).

    https://doi.org/10.1145/589411.589439

  • Manohar R. Scalable formal design methods for asynchronous VLSI. Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages. (245-246).

    https://doi.org/10.1145/503272.503295

  • Martin A, Nyström M and Pénzes P. ET2. Power aware computing. (293-315).

    /doi/10.5555/783060.783076

  • Manohar R. (2002). Scalable formal design methods for asynchronous VLSI. ACM SIGPLAN Notices. 37:1. (245-246). Online publication date: 1-Jan-2002.

    https://doi.org/10.1145/565816.503295

  • Kim S and Beerel P. Pipeline optimization for asynchronous circuits. Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design. (296-302).

    /doi/10.5555/602902.602969

  • Brunvand E, Nowick S and Yun K. Practical advances in asynchronous design and in asynchronous/synchronous interfaces. Proceedings of the 36th annual ACM/IEEE Design Automation Conference. (104-109).

    https://doi.org/10.1145/309847.309889

  • Manohar R, Lee T and Martin A. Projection. Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems.

    /doi/10.5555/785165.785281

  • Kol R and Ginosar R. Kin. Proceedings of the 12th international conference on Supercomputing. (433-440).

    https://doi.org/10.1145/277830.277937