Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/252493.252635acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article
Free access

Power exploration for data dominated video applications

Published: 12 August 1996 Publication History
  • Get Citation Alerts
  • First page of PDF

    References

    [1]
    KCatthoor, W.Geurts, H.De Man, "Loop transformation methodology for fixed-rate video, image and telecom processing applications", Proc. Intnl. Conf. on Applic.-Spec. Array Processors, San Francisco, CA, pp.427-438, Aug. 1994.
    [2]
    A.Chandrakasan, M.Potkonjak, R.Mehra, J.Rabaey, R.W.Brodersen, "Optimizing power using transformations". IEEE Trans. on Comp.-aided Design, Vol.CAD-14, No.I, pp.12-30,Jan. 1995.
    [3]
    L. De Vos, M. Stegherr, "Parameterizable VLSI Architectures for the lullsearch block-matching algorithm", IEEE Transactions on Circuits and Systems, vol. 36, pp. 1309-1316, Oct. 1989.
    [4]
    M.Harrand, M.Henry, RChaisemartin, RMougeat, Y.Durand, A.Tournier, R.Wilson, J.Herluison, J.Langchambon, J.Bauer, M.Runtz and J.Bulone, "A single chip videophone encoder/decoder", Proc. IEEE Int. Solid-State Cilv. Conf., pp.292-293, Feb. 1995.
    [5]
    K.Ishihara et al. "A half-pel precision MPEG2 motion-estimation processor with concurrent three-vector search", Proc. IEEE Int. Solid-State City. Conf., pp.288-289, Feb. 1995.
    [6]
    K.Itoh, K.Sasaki, Y.Nakagome, "Trends in Low-Power RAM Circuit Technologies", Proc. of the IEEE, Vol. 83, No. 4, pp.524-543, Apr. 95.
    [7]
    J.M.Janssen, F.Catthoor, H.De Man, "A Specification Invariant Technique for Operation Cost Minimization in Flow-Graphs", Proc. of the 7th ACM/IEEE Intl Syrup. on High-level Synthesis, pp.146-157,1994.
    [8]
    Y. Jehng, L. Chen, T. Chiueh, "An efficient and simple VLSI tree architecture for motion estimation algorithms", IEEE Transactions on Signal Processing, vol. 41, pp. 889-900,Feb. 1993.
    [9]
    T. Komarek, P. Pirsch, "Array Architectures for Block Matching Algorithms", IEEE Transactions on Circuits and Systems, vo136, Oct. 1989.
    [10]
    P.Landman, "Low power architectural design methodologies", Doctoral Dissertation, U.C.Berkeley, Aug. 1994.
    [11]
    C.Lin and S.Kwatra, "An adaptive algorithm for motion compensated colour image coding", Proc. IEEE Globecom, pp.47.1.1-4,1984.
    [12]
    T.H.Meng, B.Gordon, E.Tsern, A.Hung, "Portable video-on-demandin wireless communication", special issue on "Low power design" of the Proceedings of the IEEE, Vol.83, No.4, pp.659-680, April 1995.
    [13]
    T.Miyazaki, I.Kuroda, and M.Imanishi, "A low-cost MPEG1 video encoder based on a single chip DSP", Proc. DSPX, pp.136-142,1994.
    [14]
    L.Nachtergaele, F.Catthoor, F.Balasa, F.Franssen, E.De Greef, H.Samsom, H.De Man, "Optimisation of memory organisation and hierarchy for decreased size and power in video and image processing systems", Proc. Intnl. Workshop on Memory Technology, Design and Testing, San Jose CA, pp.82- 87, Aug. 1995.
    [15]
    J.Rosseel, F.Catthoor, H.De Man, "The systematic design of a motion estimation array architecture", P~vc. Intnl. Conf. on Applic.-Spec.Array P~vcessors, Barcelona, Spain, pp.40-54, Sep.91.
    [16]
    T.Seki, E.Itoh, C.Furukawa, I.Maeno, T.Ozawa, H.Sano, N.Suzuki, "A 6-ns 1-Mb CMOS SRAM with Latched Sense Amplifier",IEEE Journal of Solid- State Ci~vuits, Vol.28, No.4, pp.478-483, Apr. 1993.
    [17]
    D.Singh, J.Rabaey, M.Pedram, ECatthoor, S.Rajgopal, N.Sehgal, T.Mozdzen, "Power conscious CAD tools and methodologies: a perspective", special issue on "Low power design" of the Proceedings of the IEEE, Vol.83, No.4, pp.570-594, April 1995.
    [18]
    M.Toyokura et al., "A video DSP with a macroblock-level-pipeline and a SIMD type vector-pipeline architecture for MPEG2 codec", IEEE J. Solidstate City., Vol.SC-29, pp. 1474-1480, Dec. 1994.
    [19]
    RVan Oostende, G.Van Wauwe, "Low power design: a gated-clock strategy", Low Power Workshop, Ulm, Germany, Sep. 1994.
    [20]
    S.Wuytack, ECatthoor, F.Franssen, L.Nachtergaele, H.De Man, "Global communication and memory optimizing transformations for low power systems", IEEE Intnl. Workshop on Low Power Design, Napa CA, pp.203-208, April 1994.

    Cited By

    View all
    • (2017)ROHOMIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.258404836:3(357-369)Online publication date: 1-Mar-2017
    • (2017)Improving the performance of embedded systems with variable neighborhood searchApplied Soft Computing10.1016/j.asoc.2016.12.03453:C(217-226)Online publication date: 1-Apr-2017
    • (2011)Two iterative metaheuristic approaches to dynamic memory allocation for embedded systemsProceedings of the 11th European conference on Evolutionary computation in combinatorial optimization10.5555/2008339.2008361(250-261)Online publication date: 27-Apr-2011
    • Show More Cited By

    Index Terms

    1. Power exploration for data dominated video applications

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ISLPED '96: Proceedings of the 1996 international symposium on Low power electronics and design
        August 1996
        390 pages

        Sponsors

        Publisher

        IEEE Press

        Publication History

        Published: 12 August 1996

        Check for updates

        Qualifiers

        • Article

        Conference

        ISLPED96
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 398 of 1,159 submissions, 34%

        Upcoming Conference

        ISLPED '24

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)11
        • Downloads (Last 6 weeks)2

        Other Metrics

        Citations

        Cited By

        View all
        • (2017)ROHOMIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.258404836:3(357-369)Online publication date: 1-Mar-2017
        • (2017)Improving the performance of embedded systems with variable neighborhood searchApplied Soft Computing10.1016/j.asoc.2016.12.03453:C(217-226)Online publication date: 1-Apr-2017
        • (2011)Two iterative metaheuristic approaches to dynamic memory allocation for embedded systemsProceedings of the 11th European conference on Evolutionary computation in combinatorial optimization10.5555/2008339.2008361(250-261)Online publication date: 27-Apr-2011
        • (2009)Static minimization of total energy consumption in memory subsystem for scratchpad-based systems-on-chipsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200194017:2(161-171)Online publication date: 1-Feb-2009
        • (2006)Power-aware RAM mapping for FPGA embedded memory blocksProceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays10.1145/1117201.1117229(189-198)Online publication date: 22-Feb-2006
        • (2003)Control Flow Driven Splitting of Loop Nests at the Source Code LevelProceedings of the conference on Design, Automation and Test in Europe - Volume 110.5555/789083.1022762Online publication date: 3-Mar-2003
        • (2000)Systematic data reuse exploration methodology for irregular access patternsProceedings of the 13th international symposium on System synthesis10.5555/501790.501816(115-121)Online publication date: 20-Sep-2000
        • (2000)A preprocessing step for global loop transformations for data transfer optimizationProceedings of the 2000 international conference on Compilers, architecture, and synthesis for embedded systems10.1145/354880.354886(34-40)Online publication date: 1-Nov-2000
        • (1998)Energy-delay efficient data storage and transfer architecturesProceedings of the conference on Design, automation and test in Europe10.5555/368058.368343(709-715)Online publication date: 23-Feb-1998
        • (1997)Architectural Exploration and Optimization for Counter Based Hardware Address GenerationProceedings of the 1997 European conference on Design and Test10.5555/787260.787679Online publication date: 17-Mar-1997
        • Show More Cited By

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media