Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Advanced hardware/software co-design on reconfigurable network-on-chip based hyper-platforms

Published: 01 July 2007 Publication History

Abstract

In this contribution we present a new paradigm and methodology for the Network-on-chip (NoC) based design of complex hardware/software systems. While classical industrial design platforms represent dedicated fixed architectures for specific applications, flexible NoC architectures open new degrees of system reconfigurability. After giving an overview on required demands for NoC hyper-platforms, we describe the realisation of these prerequisites within the HiNoC platform. We introduce a new dynamic hardware/software co-design methodology for pre- and post-manufacturing design. Finally we will summarize the concept combined with an outlook on further investigations.

References

[1]
Adams JK, Thomas DE. The design of mixed hardware/software systems. In: Proceedings of the design automation conference, June 1996; p. 515-20.
[2]
Baleani M, Gennari F, Jiang Y, Patel Y, Brayton R, Sangiovanni-Vincentelli A. HW/SW partitioning and code generation of embedded control applications on a reconfigurable architecture platform. In: Proceedings of the 10th international workshop on hardware/software codesign (CODES/CASHE 2002), May 2002; p. 151-6.
[3]
Benini, L. and Micheli, G.D., Networks-on-chips: a new SoC paradigm. IEEE Comput. v35. 70-78.
[4]
Dally WJ, Towles B. Route packets, not wires: on-chip interconnection networks. In: Proceedings of the design automation conference (DAC 2001), 2001; p. 684-9.
[5]
Dziri M, Cesario MA, Wagner F, Jerraya A. Unified component integration flow for multi-processor SoC design and validation. In: Proceedings of the DATE 2004, Feb 2004.
[6]
I.T.R. for semiconductors. ITRS roadmap 2003. http://public.itrs.net.
[7]
Gajski, D., Vahid, F., Narayan, S. and Gong, J., Specification and design of embedded systems. 1994. Prentice-Hall.
[8]
Goossens K, van Meerbergen J, Peeters A, Wielage P. Networks on silicon: combining best-effort and guaranteed services. In: Proceeings of the automation and test in Europe conference and exhibition 2002, 2002; p. 423-5.
[9]
Guerrier P, Greiner A. A generic architecture for on-chip packet-switched interconnections. In: Proceedings of the automation and test in Europe conference and exhibition 2000, 2000; p. 250-6.
[10]
Gupta, R. and De Micheli, G., System co-synthesis for digital systems. IEEE Des Test. v10 i3. 29-41.
[11]
Hohenstern, S., Entwicklung und implementierung eines systemc-simulators für ein hierarchisches network-on-chip mit verbindungsorientierter und paketvermittelter kommunikation. 2004. Darmstadt University of Technology, Studienarbeit.
[12]
Hollstein T, Becker J, Kirschbaum A, Glesner M. HiPart: a new hierarchical semi-interactive HW/SW partitioning approach with fast debugging for real-time embedded systems. In: Proceedings of the 6th international workshop on hardware/software codesign (CODES/CASHE'98), Seattle, Washington, USA, March 15-18; 1998.
[13]
Hollstein T, Ludewig R, Mager C, Zipf P, Glesner M. A hierarchical generic approach for on-chip communication, testing and debugging of SoCs. In: Proceedings of the VLSI-SoC 2003, Dec 2003; p. 44-9.
[14]
Kumar S, Jantsch A, Soininen J-P, Forsell M, Millberg M, Öberg J et al. A network on chip architecture and design methodology. In: Proceedings of the VLSI annual symposium (ISVLSI 2002), 2002; p. 105-12.
[15]
Leiserson, C., Fat trees: universal networks for hardware-efficient supercomputing. IEEE Trans Comput. vC-34 i10. 892-901.
[16]
Mello A, Tedesco L, Calazans N, Moraes F. Virtual channels in networks on chip: implementation and evaluation on hermes NoC. In: Proceedings of the 18th annual symposium on Integrated circuits and system design (SBCCI) 2005, Florianolpolis, Brazil, Sep 2005; p. 178-83.
[17]
Muttersbach J, Villinger T, Fichtner W. Practical design of globally-asynchronous locally-synchronous systems. In: Proceedings of the sixth international symposium on advanced research in asynchronous circuits and systems (ASYNC 2000), 2000; p. 52-9.
[18]
Natvig L. High-level architectural simulation of the torus routing chip. In: Proceedings of the international verilog HDL conference, California; Mar 1997.
[19]
Noguera, J. and Badia, R., HW/SW co-design techniques for dynamically reconfigurable architectures. IEEE Trans VLSI Syst. v10 i4. 399-415.
[20]
Saastamoimen I, Signenza-Tortosa D, Nurmi J. Interconnect IP node for future system-on-chip designs. In: Proceedings of the first IEEE international workshop on electronic design, test and applications (DELTA 02); 2002.
[21]
Schäfer, M., Entwicklung und implementierung eines routing-konzepts für ein network-on-chip. 2005. Darmstadt University of Technology, Studienarbeit.
[22]
Schäfer M, Hollstein T, Zimmer H, Glesner M. Deadlock-free routing and component placement for irregular mesh-based networks-on-chip. In: Proceedings of the ACM/IEEE international conference on computer-aided design (ICCAD) 2005, San Jose, CA., USA; Nov 2005. p. 238-45.
[23]
Sgroi M, Sheets M, Mihal A, Keutzer K, Malik S, Rabaey J, et al. Addressing the system-on-a-chip interconnect woes through communication-based design. In: Proceedings of the design automation conference (DAC 2001), 2001; p. 667-72.
[24]
Taylor MB, Tim J, Miller J, DW, et. al. A computational fabric for software circuits and general-purpose programs. In: IEEE micro, Apr 2002.
[25]
Van Rompaey K, Verkest D, Bolsens I, De Man H. CoWare - a design environment for heterogeneous hardware/software systems. In: Proceedings of the european design automation conference (EuroDAC), Sep 1996; p. 252-7.
[26]
Wielage P, Goossens K. Networks on silicon: blessing or nightmare? In: Euromicro symposium on digital system deisign (DSD 2002), Sep 2002; p. 423-5.
[27]
Wiklung D, Liu D. SOCBUS: Switched network on chip for hard real time embedded systems. In: Proceedings of the international parallel and distributed processing symposium; Apr 2003.
[28]
Zimmer H, Zink S, Hollstein T, Glesner V. Buffer-architecture exploration for routers in a hierarchical network-on-chip. In: Proceedings of the 19th IEEE international parallel and distributed processing symposium, Denver, CO. USA, 2005; p. 4.

Cited By

View all
  • (2018)A scalable accuracy fuzzy logic controller on FPGAExpert Systems with Applications: An International Journal10.1016/j.eswa.2015.04.05042:19(6658-6673)Online publication date: 29-Dec-2018

Index Terms

  1. Advanced hardware/software co-design on reconfigurable network-on-chip based hyper-platforms

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image Computers and Electrical Engineering
      Computers and Electrical Engineering  Volume 33, Issue 4
      July, 2007
      90 pages

      Publisher

      Pergamon Press, Inc.

      United States

      Publication History

      Published: 01 July 2007

      Author Tags

      1. Hardware/software co-design
      2. Networks-on-chip
      3. System-on-chip design

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 03 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2018)A scalable accuracy fuzzy logic controller on FPGAExpert Systems with Applications: An International Journal10.1016/j.eswa.2015.04.05042:19(6658-6673)Online publication date: 29-Dec-2018

      View Options

      View options

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media