Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

CAP-W

Published: 01 July 2017 Publication History

Abstract

In order to fulfill the ever-increasing demand for high-speed and high-bandwidth, wireless-based MCSoC is presented based on a NoC communication infrastructure. Inspiring the separation between the communication and the computation demands as well as providing the flexible topology configurations, makes wireless-based NoC a promising future MCSoC architecture. However, congestion occurrence in wireless routers reduces the benefit of high-speed wireless links and significantly increases the network latency. Therefore, in this paper, a congestion-aware platform, named CAP-W, is introduced for wireless-based NoC in order to reduce congestion in the network and especially over wireless routers. The triple-layer platform of CAP-W is composed of mapping, migration, and routing layers. In order to minimize the congestion probability, the mapping layer is responsible for selecting the suitable free core as the first candidate, finding the suitable first task to be mapped onto the selected core, and allocating other tasks with respect to contiguity. Considering dynamic variation of application behaviors, the migration layer modifies the primary task mapping to improve congestion situation. Furthermore, the routing layer balances utilization of wired and wireless networks by separating short-distance and long-distance communications. Experimental results show meaningful gain in congestion control of wireless-based NoC compared to state-of-the-art works.

References

[1]
L. Benini, G.D. Micheli, Networks on chips: a new SoC paradigm, IEEE Comput., 35 (2002) 70-78.
[2]
Adapteva, Inc. {Online}. Available: http://www.adapteva.com/; Arteris, Inc. {Online}. Available: http://www.arteris.com/; Sonics, Inc. {Online}. Available: http://sonicsinc.com/.
[3]
M.F. Chang, J. Cong, A. Kaplan, M. Naik, G. Reinman, E. Socher, S.W. Tam, CMP network-on-chip overlaid with multi-band RF-interconnect, in: Proceedings of IEEE International Symposium on High Performance Computer Architecture (HPCA), 2008, pp. 191-202.
[4]
V.F. Pavlidis, E.G. Friedman, 3-D topologies for networks-on-chip, IEEE Trans. Very Large Scale Integr., 15 (2007) 1081-1090.
[5]
A. Shacham, K. Bergman, S. Member, L.P. Carloni, Photonic networks-on-chip for future generations of chip multiprocessors, IEEE Trans. Comput., 57 (2008) 1246-1260.
[6]
A. Ganguly, K. Chang, S. Deb, P.P. Pande, B. Belzer, C. Teuscher, Scalable hybrid wireless network-on-chip architectures for multicore systems, IEEE Trans. Comput., 60 (2011) 1485-1502.
[7]
S. Dep, K. Chang, X. Yu, S.P. Sah, M. Cosic, A. Ganguly, P.P. Pande, B. Belzer, D. Heo, Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects, IEEE Trans. Comput., 62 (2013) 2382-2396.
[8]
A. Rezaei, F. Safaei, M. Daneshtalab, H. Tenhunen, HiWA: a hierarchical wireless network-on-chip architecture, in: Proceedings of IEEE International High Performance Computing & Simulation (HPCS), 2014, pp. 499-505.
[9]
A. Rezaei, M. Daneshtalab, F. Safaei, D. Zhao, Hierarchical approach for hybrid wireless network-on-chip in many-core era, Els. Int. J. Comput. Electr. Eng., 51 (2016) 225-234.
[10]
C.L. Chou, R. Marculescu, Contention-aware application mapping for network-on-chip communication architectures, in: IEEE International Conference on Computer Design (ICCD), 2008, pp. 164-169.
[11]
J.W. Brand, C. Ciordas, K. Goossens, T. Basten, Congestion-controlled best-effort communication for networks-on-chip, in: Proceedings of Design, Automation and Test in Europe (DATE), 2007, pp. 1-6.
[12]
S. Ma, N.E. Jerger, Z. Wang, DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip, in: Proceedings of International Symposium on Computer Architecture (ISCA), 2011, pp. 413-424.
[13]
D. Huang, T. LaRocca, M.C. Chang, L. Samoska, A. Fung, R. Campbell, M. Andrews, Terahertz CMOS frequency generator using linear superposition technique, IEEE J. Solid State Circ., 43 (2008) 2730-2738.
[14]
E. Seok, C. Cao, D. Shim, D.J. Arenas, D.B. Tanner, C. Hung, K.K.O, A 410GHz CMOS push-push oscillator with an on-chip patch antenna, in: IEEE International Solid-State Circuits Conference (ISSCC), 2008, pp. 472-629.
[15]
S.B. Lee, S.W. Tam, I. Pefkianakis, S. Lu, M.F. Chang, C. Guo, G. Reinman, C. Peng, M. Naik, L. Zhang, J. Cong, A scalable micro wireless interconnect structure for CMPs, in: Proceedings of the International Conference on Mobile Computing and Networking (MobiCom), 2009, pp. 217-228.
[16]
W. Green, M. Rooks, L. Sekaric, Y. Vlasov, Ultra-compact, low RF power, 10 Gb/s silicon MachZehnder modulator, Optics Exp., 15 (2007) 17106-17113.
[17]
E.L. Carvalho, N.L.V. Calazans, F.G. Moraes, Heuristics for dynamic task mapping in NoC-based heterogeneous MPSoCs, in: IEEE/IFIP International Workshop on Rapid System Prototyping (RSP), 2007, pp. 34-40.
[18]
E.L. Carvalho, N.L.V. Calazans, F.G. Moraes, Dynamic task mapping for MPSoCs, IEEE Des. Test Comput., 27 (2010) 26-35.
[19]
C.L. Chou, U.Y. Ogras, R. Marculescu, Energy- and performance-aware incremental mapping for networks on chip with multiple voltage levels, in: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27, 2008, pp. 1866-1879.
[20]
A. Rezaei, M. Daneshtalab, D. Zhao, F. Safaei, X. Wang, M. Ebrahimi, Dynamic application mapping algorithm for wireless network-on-chip, in: Proceedings of IEEE Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP), 2015, pp. 421-424.
[21]
S. Bertozzi, A. Acquaviva, D. Bertozzi, A. Poggiali, Supporting task migration in multi-processor systems-on-chip: a feasibility study, in: Proceedings of Design, Automation and Test in Europe (DATE), 2006, pp. 1-6.
[22]
B. Goodarzi, H. Sarbazi-Azad, Task migration in mesh NoCs over virtual point-to-point connections, in: Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), 2011, pp. 463-469.
[23]
F.G. Moraes, G.A. Madalozzo, G.M. Castilhos, E.A. Carara, Proposal and evaluation of a task migration protocol for NoC-based MPSoCs, IEEE Int. Symp. Circ. Syst. (ISCAS) (2012) 644-647.
[24]
Routing Algorithms in Networks-on-Chip, in: Routing Algorithms in Networks-on-Chip, Springer, 2014.
[25]
M. Ebrahimi, M. Daneshtalab, F. Farahnakian, J. Plosila, P. Liljeberg, M. Palesi, H. Tenhunen, HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks, in: Proceedings of International Symposium on Networks-on-Chip (NoCS), 2012, pp. 19-26.
[26]
M. Ebrahimi, M. Daneshtalab, P. Liljeberg, J. Plosila, J. Flich, H. Tenhunen, Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing, IEEE Trans. Comput., 63 (2014) 718-733.
[27]
A. Rezaei, M. Daneshtalab, M. Palesi, D. Zhao, Efficient congestion-aware scheme for wireless On-Chip networks, in: Proceedings of IEEE Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP), 2016, pp. 742-749.
[28]
C. Wang, L. Yu, L. Liu, T Chen, Packet triggered prediction based task migration for network-on-chip, in: Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), 2012, pp. 491-498.
[29]
Y. Huang, K.-K. Chou, C.-T. King, S.-Y. Tseng, NTPT: on the end-to-end traffic prediction in the on-chip networks, in: Design Automation Conference (DAC), 2010, pp. 449-452.
[30]
S. Holmbacka, S. Lafond, J. Lilius, A PID-controlled power manager for energy efficient web clusters, in: Proceedings of IEEE International Conference on Dependable, Autonomic and Secure Computing (DASC), 2011, pp. 721-728.
[31]
F. Fu, S. Sun, X. Hu, J. Song, J. Wang, M. Yu, MMPI: a flexible and efficient multiprocessor message passing interface for NoC-based MPSoC, in: Proceedings of IEEE International SoC Conference (SOCC), 2010, pp. 359-362.
[32]
Task graph generator (TGG). {Online}. Available: http://sourceforge.net/projects/taskgraphgen/.
[33]
S. Woo, The SPLASH-2 programs: characterization and methodological considerations, in: International Symposium on Computer Architecture (ISCA), 1995, pp. 24-36.
[34]
A. Nayebi, S. Meraji, A. Shamaei, H. Sarbazi-Azad, XMulator: a listener-based integrated simulation platform for interconnection networks, in: Asia International Conference on Modeling & Simulation (AMS), 2007, pp. 128-132.
[35]
M. Modarressi, M. Asadinia, H. Sarbazi-Azad, Using task migration to improve non-contiguous processor allocation in NoC-based CMPs, Els. J. Syst. Arch., 59 (2013) 468-481.
[36]
A. Rezaei, M. Daneshtalab, D. Zhao, M. Modarressi, SAMi: Self-aware migration approach for congestion reduction in NoC-based MCSoC, in: Proceedings of IEEE International System-on-Chip Conference (SOCC), 2016, pp. 145-150.
[37]
A. Rezaei, D. Zhao, M. Daneshtalab, H. Zhou, Multi-objective task mapping approach for wireless NoC in dark silicon age, in: Proceedings of IEEE Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), 2017, pp. 589-592.

Cited By

View all
  • (2019)A fault-tolerant and congestion-aware architecture for wireless networks-on-chipWireless Networks10.1007/s11276-019-01962-325:6(3675-3687)Online publication date: 20-Jul-2019
  • (2019)An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chipThe Journal of Supercomputing10.1007/s11227-018-2617-x75:2(837-861)Online publication date: 1-Feb-2019

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Microprocessors & Microsystems
Microprocessors & Microsystems  Volume 52, Issue C
July 2017
556 pages

Publisher

Elsevier Science Publishers B. V.

Netherlands

Publication History

Published: 01 July 2017

Author Tags

  1. Adaptive Routing Algorithm
  2. Congestion
  3. Dynamic Application Mapping
  4. Dynamic Task Migration
  5. Network-on-Chip
  6. Wireless Network-on-Chip

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 12 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2019)A fault-tolerant and congestion-aware architecture for wireless networks-on-chipWireless Networks10.1007/s11276-019-01962-325:6(3675-3687)Online publication date: 20-Jul-2019
  • (2019)An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chipThe Journal of Supercomputing10.1007/s11227-018-2617-x75:2(837-861)Online publication date: 1-Feb-2019

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media