Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/RSP.2007.26guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs

Published: 28 May 2007 Publication History

Abstract

Multiprocessor Systems-on-Chip (MPSoCs) is a trend in VLSI design, since they minimize the "design crisis” (gap between silicon technology and actual SoC design capacity) and reduce the time to market. Important issues in MPSoC design are the communication infrastructure and task mapping. MPSoCs may employ NoCs to integrate multiple programmable processor cores, specialized memories, and other IPs in a scalable way. Applications running in MPSoCs execute a varying number of tasks simultaneously, and their number may exceed the available resources, requiring task mapping to be executed at runtime to meet real-time constraints. Most works in the literature present static MPSoC mapping solutions. Static mapping defines a fixed placement and scheduling, not appropriate for dynamic workloads. Task migration has also been proposed for use in MPSoCs, with the goal to relocate tasks when performance bottlenecks are identified. This work investigates the performance of mapping heuristics in NoC-based MPSoCs with dynamic workloads, targeting NoC congestion minimization, a key cost function to optimize the NoC performance. Here, tasks are mapped on the fly, according to communication requests and the load in the NoC links. Results show execution time and congestion reduction when congestion-aware mapping heuristics are employed.

Cited By

View all
  • (2023)Machine Learning Enabled Solutions for Design and Optimization Challenges in Networks-on-Chip based Multi/Many-Core ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/359147019:3(1-26)Online publication date: 30-Jun-2023
  • (2018)Optimizing dynamic mapping techniques for on-line NoC testProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201655(227-232)Online publication date: 22-Jan-2018
  • (2018)A lifetime-aware mapping algorithm to extend MTTF of networks-on-chipProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201638(147-152)Online publication date: 22-Jan-2018
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Guide Proceedings
RSP '07: Proceedings of the 18th IEEE/IFIP International Workshop on Rapid System Prototyping
May 2007
207 pages
ISBN:0769528341

Publisher

IEEE Computer Society

United States

Publication History

Published: 28 May 2007

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Machine Learning Enabled Solutions for Design and Optimization Challenges in Networks-on-Chip based Multi/Many-Core ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/359147019:3(1-26)Online publication date: 30-Jun-2023
  • (2018)Optimizing dynamic mapping techniques for on-line NoC testProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201655(227-232)Online publication date: 22-Jan-2018
  • (2018)A lifetime-aware mapping algorithm to extend MTTF of networks-on-chipProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201638(147-152)Online publication date: 22-Jan-2018
  • (2018)A Design-Time/Run-Time Application Mapping Methodology for Predictable Execution Time in MPSoCsACM Transactions on Embedded Computing Systems10.1145/327466517:5(1-25)Online publication date: 19-Nov-2018
  • (2018)The Agamid design-space exploration frameworkDesign Automation for Embedded Systems10.1007/s10617-018-9214-322:4(293-314)Online publication date: 1-Dec-2018
  • (2017)Performance-Aware Resource Management of Multi-Threaded Applications on Many-Core SystemsProceedings of the Great Lakes Symposium on VLSI 201710.1145/3060403.3060426(119-124)Online publication date: 10-May-2017
  • (2017)CAP-WMicroprocessors & Microsystems10.1016/j.micpro.2017.05.01452:C(23-33)Online publication date: 1-Jul-2017
  • (2017)An energy-efficient design of microkernel-based on-chip OS for NOC-based manycore systemThe Journal of Supercomputing10.1007/s11227-016-1700-473:8(3344-3365)Online publication date: 1-Aug-2017
  • (2016)A lifetime-aware runtime mapping approach for many-core systems in the dark silicon eraProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972005(854-857)Online publication date: 14-Mar-2016
  • (2016)VisualNoCProceedings of the Third ACM International Workshop on Many-core Embedded Systems10.1145/2934495.2949544(18-25)Online publication date: 19-Jun-2016
  • Show More Cited By

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media