Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Contemporary CMOS aging mitigation techniques

Published: 01 September 2017 Publication History

Abstract

The proposed paper addresses the overarching reliability issue of transistor aging in nanometer-scaled circuits. Specifically, a comprehensive survey and taxonomy of techniques used to model, monitor and mitigate Bias Temperature Instability (BTI) effects in logic circuits are presented. The challenges and overheads of these techniques are covered through the course of this paper. Important metrics of area overhead, power and energy overhead, performance overhead, and lifetime extension are discussed. Furthermore, the techniques are assessed with regards to ease of implementation and the ability to cope with challenges such as increase in manufacturing induced process variations. Finally, a taxonomy of the surveyed techniques is presented to facilitate generalization of the discussed approaches and to foster new inspiring techniques for this important reliability phenomenon leading to advancements in the design of defect-tolerant digital circuits. HighlightsThis manuscript presents a survey of VLSI aging mechanism, models, and mitigation techniques at different abstraction levels.An overview of the primary source of reliability issue in submicron manufacturing era is given.Two main models describing the physics of the BTI phenomenon are introduced.

References

[1]
B.B.H. Calhoun, Y. Cao, X. Li, K. Mai, L.T. Pileggi, R.A. Rutenbar, K.L. Shepard, Digital circuit design challenges and opportunities in the era of nanoscale cmos, Proc. IEEE, 96 (2008) 343-365.
[2]
M. Imani, S. Patil, T. S. Rosing, Hierarchical design of robust and low data dependent finfet based sram array, in: Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH15), 2015, pp. 6368.
[3]
M. Imani, P. Mercati, T. Rosing, Remam: Low energy resistive multi-stage associative memory for energy efficient computing, in: 2016 Proceedings of the 17th International Symposium on Quality Electronic Design (ISQED), 2016, pp. 101106.
[4]
N. Khoshavi, H.R. Zarandi, M. Maghsoudloo. Two control-flow error recovery methods for multithreaded programs running on multi-core processors, in: Facta Universitatis Series: Electronics and Energetics, 2015. pp. 309323.
[5]
M. Imani, S. Patil, T. S. Rosing, Masc: Ultra-low energy multiple-access single-charge tcam for approximate computing, in: 2016 Design, Automation Test in Europe Conference Exhibition (DATE), 2016, pp. 373378.
[6]
N. Khoshavi, H. R. Zarandi, M. Maghsoudloo, Two control-flow error recovery methods for multithreaded programs running on multi-core processors, in: Microelectronics (MIEL), 2012 Proceedings of the 28th international conference on, IEEE, 2012, pp. 371374.
[7]
N. Khoshavi, H. R. Zarandi, M. Maghsoudloo, Control-flow error recovery using commodity multi-core architecture features, in: 2011 IEEE Proceedings of the 17th International On-Line Testing Symposium, IEEE, 2011, pp. 190191.
[8]
N. Khoshavi, H. R. Zarandi, M. Maghsoudloo, Control-flow error detection using combining basic and program-level checking in commodity multi-core architectures, in: 2011 Proceedings of the 6th IEEE International Symposium on Industrial and Embedded Systems, IEEE, 2011, pp. 103106.
[9]
Reliability in cmos ic design: Physical failure mechanisms and their modeling, in: https://www.mosis.com/.
[10]
C.-H. Ho, K.A. Jenkins, H. Ainspan, E. Ray, B.P. Linder, P. Song, Performance degradation analysis and hot-carrier injection impact on the lifetime prediction of voltage control oscillator, IEEE Trans. Electron Devices, 62 (2015) 2148-2154.
[11]
E. Maricau, G. Gielen, Transistor aging-induced degradation of analog circuits: Impact analysis and design guidelines, in: ESSCIRC (ESSCIRC), 2011 Proceedings of the, 2011, pp. 243246.
[12]
E. Xiao, J. S. Yuan, H. Yang, Hot-carrier and soft-breakdown effects on vco performance, IEEE Transactions on Microwave Theory and Techniques 50 (11) (2002) 24532458.
[13]
M. Igarashi, K. Takeuchi, T. Okagaki, K. Shibutani, H. Matsushita, K. Nii, An on-die digital aging monitor against hci and xbti in 16 nm fin-fet bulk cmos technology, in: European Solid-State Circuits Conference (ESSCIRC), ESSCIRC 2015-41st, IEEE, 2015, pp. 112115.
[14]
M. Cho, C. Tokunaga, M. M. Khellah, J. W. Tschanz, V. De, Aging-aware adaptive voltage scaling in 22nm high-k/metal-gate tri-gate cmos, in: Custom Integrated Circuits Conference (CICC), 2015 IEEE, IEEE, 2015, pp. 14.
[15]
A. Rahman, M. Agostinelli, P. Bai, G. Curello, H. Deshpande, W. Hafez, C.-H. Jan, K. Komeyli, J. Park, K. Phoa, Reliability studies of a 32nm system-on-chip (soc) platform technology with 2 nd generation high-k/metal gate transistors, in: Reliability Physics Symposium (IRPS), 2011 IEEE International, IEEE, pp. 5D. 3.1-5D. 3.6.
[16]
G. E. Suh, S. Devadas, Physical unclonable functions for device authentication and secret key generation, in:Proceedings of the 44th annual Design Automation Conference, ACM, 2007, pp. 914.
[17]
F. Koushanfar, M. Potkonjak, Cad-based security, cryptography, and digital rights management, in: Proceedings of the 2007 44th ACM/IEEE Design Automation Conference, IEEE, 2007, pp. 268269.
[18]
T. Rahman, D. Forte, J. Fahrny, M. Tehranipoor, Aro-puf: An aging-resistant ring oscillator puf design, in: Proceedings of the conference on Design, Automation & Test in Europe, European Design and Automation Association, 2014, p. 69.
[19]
J. Guajardo, B. kori, P. Tuyls, S.S. Kumar, T. Bel, A.H. Blom, G.-J. Schrijen, Anti-counterfeiting, key distribution, and key storage in an ambient world via physical unclonable functions, Inf. Syst. Front., 11 (2009) 19-41.
[20]
M. Ahmadian, A. Paya, C. D. Marinescu, Security of Applications Involving Multiple Organizations - Order Preserving Encryption in Hybrid Cloud Environments, in: Proceedings of the 28th International Parallel and Distributed Processing Symposium Workshops, IEEE, 2014, pp. 894903.
[21]
M. Ahmadian, J. Khodabandehloo, D. C. Marinescu, A security scheme for geographic information databases in location based systems, in: Proceedings of the SoutheastCon 2015, IEEE, 2015, pp. 17.
[22]
S. Krishnappa, H. Singh, H. Mahmoodi, Incorporating effects of process, voltage, and temperature variation in bti model for circuit design, in: Proceedings of the IEEE Latin American Symposium on Circuits and Systems, 2010, pp. 236239.
[23]
E. Mintarno, J. Skaf, R. Zheng, J. Velamala, Y. Cao, S. Boyd, R. W. Dutton, S. Mitra, Optimized self-tuning for circuit aging, in: Proceedings of the Conference on Design, Automation and Test in Europe, European Design and Automation Association, 2010, pp. 586591.
[24]
J. P. Keane, On-chip circuits for characterizing transistor aging mechanisms in advanced cmos technologies, Thesis (2010).
[25]
K. Kang, S. P. Park, K. Roy, M. A. Alam, Estimation of statistical variation in temporal nbti degradation and its impact on lifetime circuit performance, in: Proceedings of the Computer-Aided Design, 2007. ICCAD 2007. IEEE/ACM International Conference on, IEEE, pp. 730734.
[26]
N. KHOSHAVI, Reactive rejuvenation of cmos logic paths using self-activating voltage domains, Thesis, University of Central Florida Orlando, Florida (2016).
[27]
M. Ershov, S. Saxena, H. Karbasi, S. Winters, S. Minehane, J. Babcock, R. Lindley, P. Clifton, M. Redford, A. Shibkov, Dynamic recovery of negative bias temperature instability in p-type metal-oxide-semiconductor field-effect transistors, Appl. Phys. Lett., 83 (2003) 1647-1649.
[28]
S. Rangan, N. Mielke, E. Yeh, Universal recovery behavior of negative bias temperature instability {pmosfets}, in: Proceedings of the Electron Devices Meeting, 2003. IEDM'03 Technical Digest. IEEE International, IEEE, pp. 14.3. 1-14.3. 4.
[29]
V. Huard, M. Denais, C. Parthasarathy, Nbti degradation: from physical mechanisms to modelling, Microelectron. Reliab., 46 (2006) 1-23.
[30]
D. Lorenz, M. Barke, U. Schlichtmann, Aging analysis at gate and macro cell level, in: Proceedings of the International Conference on Computer-Aided Design, IEEE Press, pp. 7784.
[31]
K.-C. Wu, D. Marculescu, Aging-aware timing analysis and optimization considering path sensitization, in: Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), 2011, IEEE, pp. 16.
[32]
M. Ebrahimi, F. Oboril, S. Kiamehr, M. B. Tahoori, Aging-aware logic synthesis, in: Proceedings of the International Conference on Computer-Aided Design, IEEE Press, pp. 6168.
[33]
F. Firouzi, S. Kiamehr, M. Tahoori, S. Nassif, Incorporating the impacts of workload-dependent runtime variations into timing analysis, in: Proceedings of the Conference on Design, Automation and Test in Europe, EDA Consortium, pp. 10221025.
[34]
S. Karapetyan, U. Schlichtmann, Integrating aging aware timing analysis into a commercial sta tool, in: VLSI Design, Automation and Test (VLSI-DAT), 2015 International Symposium on, IEEE, pp. 14.
[35]
T.T.-H. Kim, Z.H. Kong, Impact analysis of nbti/pbti on sram v min and design techniques for improved sram v min, JSTS: J. Semicond. Technol. Sci., 13 (2013) 87-97.
[36]
K. Sutaria, Modeling and simulation tools for aging effects in scaled cmos design, Thesis (2015).
[37]
W. Wang, V. Reddy, A.T. Krishnan, R. Vattikonda, S. Krishnan, Y. Cao, Compact modeling and simulation of circuit reliability for 65-nm cmos technology, IEEE Trans. Device Mater. Reliab., 7 (2007) 509-517.
[38]
S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, S. Vrudhula, Predictive modeling of the nbti effect for reliable design, in: Custom Integrated Circuits Conference, 2006. CICC'06. IEEE, IEEE, 2006, pp. 189192.
[39]
T. Naphade, N. Goel, P. Nair, S. Mahapatra, Investigation of stochastic implementation of reaction diffusion (rd) models for nbti related interface trap generation, in: Proceedings of the Reliability Physics Symposium (IRPS), 2013 IEEE International, IEEE, 2013, pp. XT-5.
[40]
V. Huard, C. Parthasarathy, C. Guerin, T. Valentin, E. Pion, M. Mammasse, N. Planes, L. Camus, Nbti degradation: From transistor to sram arrays, in: Proceedings of the Reliability Physics Symposium, 2008. IRPS 2008. IEEE International, IEEE, 2008, pp. 289300.
[41]
B. Kaczer, T. Grasser, P. J. Roussel, J. Franco, R. Degraeve, L.-A. Ragnarsson, E. Simoen, G. Groeseneken, H. Reisinger, Origin of nbti variability in deeply scaled pfets, in: Proceedings of the Reliability Physics Symposium (IRPS), 2010 IEEE International, IEEE, 2010, pp. 2632.
[42]
S. Mahapatra, V. Huard, A. Kerber, V. Reddy, S. Kalpat, A. Haggag, Universality of nbti - from devices to circuits and products, in: Proceedings of the 2014 IEEE International Reliability Physics Symposium, 2014, pp. 3B.1.1-3B.1.8.
[43]
B. Kaczer, S. Mahato, V. V. de Almeida Camargo, M. Toledano-Luque, P. J. Roussel, T. Grasser, F. Catthoor, P. Dobrovolny, P. Zuber, G. Wirth, et al., Atomistic approach to variability of bias-temperature instability in circuit simulations, in: Proceedings of the Reliability Physics Symposium (IRPS), 2011 IEEE International, IEEE, 2011, pp. XT-3.
[44]
J. Franco, B. Kaczer, M. Toledano-Luque, P. J. Roussel, J. Mitard, L.-A. Ragnarsson, L. Witters, T. Chiarella, M. Togo, N. Horiguchi, et al., Impact of single charged gate oxide defects on the performance and scaling of nanoscaled fets, in: Proceedings of the Reliability Physics Symposium (IRPS), 2012 IEEE International, IEEE, 2012, pp. 5A-4.
[45]
H. Reisinger, T. Grasser, W. Gustin, C. Schlunder, The statistical analysis of individual defects constituting nbti and its implications for modeling dc-and ac-stress, in: Proceedings of the Reliability Physics Symposium (IRPS), 2010 IEEE International, IEEE, 2010, pp. 715.
[46]
T. Grasser, P.-J. Wagner, H. Reisinger, T. Aichinger, G. Pobegen, M. Nelhiebel, B. Kaczer, Analytic modeling of the bias temperature instability using capture/emission time maps, in: Proceedings of the Electron Devices Meeting (IEDM), 2011 IEEE International, IEEE, 2011, pp. 274.
[47]
P. Weckx, B. Kaczer, M. Toledano-Luque, T. Grasser, P. J. Roussel, H. Kukner, P. Raghavan, F. Catthoor, G. Groeseneken, Defect-based methodology for workload-dependent circuit lifetime projections-application to sram, in: Proceedings of the Reliability Physics Symposium (IRPS), 2013 IEEE International, IEEE, 2013, pp. 3A-4.
[48]
Y. Lu, L. Shang, H. Zhou, H. Zhu, F. Yang, X. Zeng, Statistical reliability analysis under process variation and aging effects, in:Proceedings of the 46th Annual Design Automation Conference, ACM, pp. 514519.
[49]
J. Keane, T.-H. Kim, C.H. Kim, An on-chip nbti sensor for measuring pmos threshold voltage degradation, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 18 (2010) 947-956.
[50]
F. Oboril, M. B. Tahoori, Extratime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level, in: 2012 Proceedings of the 42nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), IEEE, pp. 112.
[51]
S. K. et al., The impact of process variation and stochastic aging in nanoscale vlsi, in: 2016 Proceedings of IRPS, 2016.
[52]
V. M. van Santen, H. Amrouch, J. Martin-Martinez, M. Nafria, J. Henkel, Designing guardbands for instantaneous aging effects, in:Proceedings of the 53rd Annual Design Automation Conference, ACM, 2016, p. 69.
[53]
D. Lorenz, Aging analysis of digital integrated circuits, Thesis, 2012.
[54]
J. Martin-Martinez, B. Kaczer, M. Toledano-Luque, R. Rodriguez, M. Nafria, X. Aymerich, G. Groeseneken, Probabilistic defect occupancy model for nbti, in: Reliability Physics Symposium (IRPS), 2011 IEEE International, Ieee, 2011, pp. XT-4.
[55]
M. Denais, C. Parthasarathy, G. Ribes, Y. Rey-Tauriac, N. Revil, A. Bravaix, V. Huard, F. Perrier, On-the-fly characterization of nbti in ultra-thin gate oxide pmosfets, in: Proceedings of the Electron Devices Meeting, 2004. IEDM Technical Digest. IEEE International, IEEE, pp. 109112.
[56]
T.-H. Kim, R. Persaud, C.H. Kim, Silicon odometer: an on-chip reliability monitor for measuring frequency degradation of digital circuits, IEEE J. Solid-State Circuits, 43 (2008) 874-880.
[57]
P.-F. Lu, K. A. Jenkins, A built-in bti monitor for long-term data collection in ibm microprocessors, in: Proceedings of the Reliability Physics Symposium (IRPS), 2013 IEEE International, IEEE, 2013, pp. 4A-1.
[58]
J. Keane, X. Wang, D. Persaud, C.H. Kim, An all-in-one silicon odometer for separately monitoring hci, bti, and tddb, IEEE J. Solid-State Circuits, 45 (2010) 817-829.
[59]
J. Keane, W. Zhang, C.H. Kim, An array-based odometer system for statistically significant circuit aging characterization, IEEE J. Solid-State Circuits, 46 (2011) 2374-2385.
[60]
K.K. Kim, W. Wang, K. Choi, On-chip aging sensor circuits for reliable nanometer mosfet digital circuits, IEEE Trans. Circuits Syst. II: Express Briefs, 57 (2010) 798-802.
[61]
E. Karl, P. Singh, D. Blaauw, D. Sylvester, Compact in-situ sensors for monitoring negative-bias-temperature-instability effect and oxide degradation, in: Proceedings of the Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International, IEEE, pp. 410623.
[62]
D. Sengupta, S. S. Sapatnekar, Predicting circuit aging using ring oscillators, in: 2014 Proceedings of the 19th Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, 2014, pp. 430435.
[63]
D. Sengupta, S. S. Sapatnekar, Rescale: Recalibrating sensor circuits for aging and lifetime estimation under bti, in: Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design, IEEE Press, 2014, pp. 492497.
[64]
M. Chen, V. Reddy, S. Krishnan, V. Srinivasan, Y. Cao, Asymmetric aging and workload sensitive bias temperature instability sensors, IEEE Des. Test. Comput., 29 (2012) 18-26.
[65]
M. Chen, V. Reddy, S. Krishnan, J. Ondrusek, Y. Cao, Ace: A robust variability and aging sensor for high-k/metal gate soc, in: 2013 Proceedings of the European Solid-State Device Research Conference (ESSDERC), 2013, pp. 182185.
[66]
H.K. Alidash, A. Calimera, A. Macii, E. Macii, M. Poncino, On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture, Springer, 2012.
[67]
S. Wang, J. Chen, M. Tehranipoor, Representative critical reliability paths for low-cost and accurate on-chip aging evaluation, in: Proceedings of the International Conference on Computer-Aided Design, ACM, 2012, pp. 736741.
[68]
H. F. Dadgour, K. Banerjee, A built-in aging detection and compensation technique for improving reliability of nanoscale cmos designs, in: Proceedings of the Reliability Physics Symposium (IRPS), 2010 IEEE International, IEEE, pp. 822825.
[69]
Z. Qi, J. Wang, A. Cabe, S. Wooters, T. Blalock, B. Calhoun, M. Stan, Sram-based nbti/pbti sensor system design, in:Proceedings of the 47th Design Automation Conference, ACM, 2010, pp. 849852.
[70]
A. Koneru, A. Vijayan, K. Chakrabarty, M. B. Tahoori, Fine-grained aging prediction based on the monitoring of run-time stress using dft infrastructure, in: Proceedings of the, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), IEEE, pp. 5158.
[71]
F. Firouzi, F. Ye, K. Chakrabarty, M.B. Tahoori, Aging-and variation-aware delay monitoring using representative critical path selection, ACM Trans. Des. Autom. Electron. Syst. (TODAES), 20 (2015) 39.
[72]
F. Firouzi, F. Ye, A. Vijayan, A. Koneru, K. Chakrabarty, M. B. Tahoori, Re-using bist for circuit aging monitoring, in: Proceedings of the Test Symposium (ETS), 2015 20th IEEE European, IEEE, pp. 12.
[73]
KEITHLEY, Model 4200-bti-a ultra-fast nbti/pbti package. www.keithley.nl/.
[74]
L. Zhang, R. P. Dick, Scheduled voltage scaling for increasing lifetime in the presence of nbti, in: Proceedings of the Design Automation Conference, 2009. ASP-DAC 2009. Asia and South Pacific, IEEE, pp. 492497.
[75]
X. Yang, K. Saluja, Combating nbti degradation via gate sizing, in: Proceedings of the 8th International Symposium on Quality Electronic Design, 2007. ISQED'07, IEEE, pp. 4752.
[76]
J. Chen, S. Wang, M. Tehranipoor, Efficient selection and analysis of critical-reliability paths and gates, in: Proceedings of the great lakes symposium on VLSI, ACM, pp. 4550.
[77]
D. Sylvester, A. Srivastava, Computer-aided design for low-power robust computing in nanoscale cmos, Proc. IEEE, 95 (2007) 507-529.
[78]
S. Kothawade, D. M. Ancajas, K. Chakraborty, S. Roy, Mitigating nbti in the physical register file through stress prediction, in: 2012 IEEE Proceedings of the 30th International Conference on Computer Design (ICCD), IEEE, pp. 345351.
[79]
S. Khan, S. Hamdioui, Modeling and mitigating nbti in nanoscale circuits, in: On-Line Testing Symposium (IOLTS), 2011 IEEE 17th International, IEEE, pp. 16.
[80]
Y.-M. Kuo, Y.-L. Chang, S.-C. Chang, Efficient boolean characteristic function for timed automatic test pattern generation, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 28 (2009) 417-425.
[81]
K.-C. Wu, D. Marculescu, Joint logic restructuring and pin reordering against nbti-induced performance degradation, in: Proceedings of the Conference on Design, Automation and Test in Europe, European Design and Automation Association, pp. 7580.
[82]
F. Oboril, M. Ebrahimi, S. Kiamehr, M. B. Tahoori, Cross-layer resilient system design flow, in: Proceedings of the 2015 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, pp. 24572460.
[83]
S. Kiamehr, F. Firouzi, M. Ebrahimi, M. B. Tahoori, Aging-aware standard cell library design, in: Proceedings of the conference on Design, Automation and Test in Europe, European Design and Automation Association, p. 261.
[84]
S. Kiamehr, M. Ebrahimi, F. Firouzi, M.B. Tahoori, Extending standard cell library for aging mitigation, Comput. Digit. Tech. IET, 9 (2015) 206-212.
[85]
F. Oboril, M.B. Tahoori, Aging-aware design of microprocessor instruction pipelines, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 33 (2014) 704-716.
[86]
Y. Chen, Y. Xie, Y. Wang, A. Takach, Minimizing leakage power in aging-bounded high-level synthesis with design time multi-v th assignment, in: Design Automation Conference (ASP-DAC), 2010 15th Asia and South Pacific, IEEE, pp. 689694.
[87]
X. Chen, Y. Wang, H. Yang, Y. Xie, Y. Cao, Assessment of circuit optimization techniques under nbti, IEEE Des. Test., 30 (2013) 40-49.
[88]
R. A. Ashraf, A. Al-Zahrani, N. Khoshavi, R. Zand, S. Salehi, A. Roohi, M. Lin, R. F. DeMara, Reactive rejuvenation of cmos logic paths using self-activating voltage domains, in: Proceedings of the 2015 IEEE International Symposium on Circuits and Systems (ISCAS), IEEE, pp. 29442947.
[89]
R. A. Ashraf, N. Khoshavi, A. Alzahrani, R. F. DeMara, S. Kiamehr, M. B. Tahoori, Area-energy tradeoffs of logic wear-leveling for bti-induced aging, in: Proceedings of the ACM International Conference on Computing Frontiers, ACM, 2016, pp. 3744.
[90]
F. Firouzi, F. Ye, K. Chakrabarty, M. B. Tahoori, Representative critical-path selection for aging-induced delay monitoring, in: Test Conference (ITC), 2013 IEEE International, IEEE, pp. 110.
[91]
E. Mintarno, J. Skaf, R. Zheng, J.B. Velamala, Y. Cao, S. Boyd, R.W. Dutton, S. Mitra, Self-tuning for maximized lifetime energy-efficiency in the presence of circuit aging, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 30 (2011) 760-773.
[92]
O. Khan, S. Kundu, A self-adaptive system architecture to address transistor aging, in: Design, Automation and Test in Europe Conference and Exhibition, 2009. DATE'09., IEEE, pp. 8186.
[93]
J. Blome, S. Feng, S. Gupta, S. Mahlke, Self-calibrating online wearout detection, in:Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, IEEE Computer Society, pp. 109122.
[94]
T.-B. Chan, J. Sartori, P. Gupta, R. Kumar, On the efficacy of nbti mitigation techniques, in: Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), 2011, IEEE, pp. 16.
[95]
F. Oboril, M. B. Tahoori, Reducing wearout in embedded processors using proactive fine-grain dynamic runtime adaptation, in: 2012 Proceedings of the 17th IEEE European Test Symposium (ETS), IEEE, pp. 16.
[96]
Z. Qi, M. R. Stan, Nbti resilient circuits using adaptive body biasing, in:Proceedings of the 18th ACM Great Lakes symposium on VLSI, ACM, pp. 285290.
[97]
S.V. Kumar, C.H. Kim, S.S. Sapatnekar, Adaptive techniques for overcoming performance degradation due to aging in cmos circuits, Trans. Very Large Scale Integr. (VLSI) Syst., 19 (2011) 603-614.
[98]
S. Gupta, S.S. Sapatnekar, Employing circadian rhythms to enhance power and reliability, ACM Trans. Des. Autom. Electron. Syst. (TODAES), 18 (2013) 38.
[99]
N. Khoshavi, R. A. Ashraf, R. F. DeMara, Applicability of power-gating strategies for aging mitigation of cmos logic paths, in: 2014 IEEE Proceedings of the 57th International Midwest Symposium on Circuits and Systems (MWSCAS), IEEE, pp. 929932.
[100]
T. Baumann, S. Drapatz, G. Georgakos, K. Hofmann, C. Pacha, Accelerating and masking properties of transistor degradation of selected digital circuit topologies, in: Honey milestone report 3.1.2-q11, Infineon Technologies, 2010.
[101]
A. Calimera, E. Macii, M. Poncino, Nbti-aware clustered power gating, ACM Trans. Des. Autom. Electron. Syst. (TODAES), 16 (2010) 3.
[102]
F. Oboril, F. Firouzi, S. Kiamehr, M.B. Tahoori, Negative bias temperature instability-aware instruction scheduling: a cross-layer approach, J. Low. Power Electron., 9 (2013) 389-402.
[103]
J. Sun, R. Lysecky, K. Shankar, A. Kodi, A. Louri, J. Roveda, Workload assignment considering nbti degradation in multicore systems, ACM J. Emerg. Technol. Comput. Syst. (JETC), 10 (2014) 4.
[104]
X. Chen, Y. Wang, Y. Liang, Y. Xie, H. Yang, Run-time technique for simultaneous aging and power optimization in gpgpus, in: Proceedings of the Design Automation Conference (DAC), 2014 51st ACM/EDAC/IEEE, IEEE, pp. 16.
[105]
F. Firouzi, S. Kiamehr, M.B. Tahoori, Power-aware minimum nbti vector selection using a linear programming approach, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 32 (2013) 100-110.
[106]
U. R. Karpuzcu, B. Greskamp, J. Torrellas, The bubblewrap many-core: popping cores for sequential acceleration, in: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009. MICRO-42, IEEE, pp. 447458.
[107]
J. Srinivasan, S. V. Adve, P. Bose, J. A. Rivers, Exploiting structural duplication for lifetime reliability enhancement, in: ACM SIGARCH Computer Architecture News, Vol. 33, IEEE Computer Society, pp. 520531.
[108]
D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, Razor: A low-power pipeline based on circuit-level timing speculation,in: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36, IEEE, pp. 718.

Cited By

View all
  • (2024)A Review of Techniques for Ageing Detection and Monitoring on Embedded SystemsACM Computing Surveys10.1145/369524757:1(1-34)Online publication date: 7-Oct-2024
  • (2022)Switched pseudo-current mirror inverter for low-power, thermally stable and robust ring oscillatorIntegration, the VLSI Journal10.1016/j.vlsi.2021.08.01282:C(20-28)Online publication date: 1-Jan-2022
  • (2021)Design and Simulation for NBTI Aware Logic GatesWireless Personal Communications: An International Journal10.1007/s11277-021-08522-z120:2(1525-1542)Online publication date: 1-Sep-2021
  • Show More Cited By
  1. Contemporary CMOS aging mitigation techniques

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image Integration, the VLSI Journal
      Integration, the VLSI Journal  Volume 59, Issue C
      September 2017
      255 pages

      Publisher

      Elsevier Science Publishers B. V.

      Netherlands

      Publication History

      Published: 01 September 2017

      Author Tags

      1. Aging adaptation and mitigation
      2. Aging monitoring
      3. Aging prediction model
      4. HCI
      5. NBTI
      6. PBTI

      Qualifiers

      • Research-article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 25 Dec 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)A Review of Techniques for Ageing Detection and Monitoring on Embedded SystemsACM Computing Surveys10.1145/369524757:1(1-34)Online publication date: 7-Oct-2024
      • (2022)Switched pseudo-current mirror inverter for low-power, thermally stable and robust ring oscillatorIntegration, the VLSI Journal10.1016/j.vlsi.2021.08.01282:C(20-28)Online publication date: 1-Jan-2022
      • (2021)Design and Simulation for NBTI Aware Logic GatesWireless Personal Communications: An International Journal10.1007/s11277-021-08522-z120:2(1525-1542)Online publication date: 1-Sep-2021
      • (2018)Selection of Critical Paths for Reliable Frequency Scaling under BTI-Aging Considering Workload Uncertainty and Process Variations EffectsACM Transactions on Design Automation of Electronic Systems10.1145/317786423:3(1-21)Online publication date: 23-Feb-2018
      • (2018)Oxide breakdown path for optical sensing at the nanoscale level2018 IEEE International Reliability Physics Symposium (IRPS)10.1109/IRPS.2018.8353668(P-GD.8-1-P-GD.8-5)Online publication date: 11-Mar-2018

      View Options

      View options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media