Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Cached DRAM for ILP Processor Memory Access Latency Reduction

Published: 01 July 2001 Publication History

Abstract

Cached DRAM adds a small cache onto a DRAM chip to reduce average DRAM access latency. The authors compare cached DRAM with other advanced dram techniques for reducing memory access latency in instruction-level-parallelism processors.

References

[1]
D. Burger J.R. Goodman and A. Kägi, "Memory Bandwidth Limitations of Future Microprocessors," Proc. 23rd Ann. Int'l Symp. Computer Architecture, IEEE CS Press, Los Alamitos, Calif., 1996, pp. 78-89.
[2]
V. Cuppu, et al., "A Performance Comparison of Contemporary DRAM Architectures," Proc. 26th Ann. Int'l Symp. Computer Architecture, IEEE CS Press, Los Alamitos, Calif., 1999, pp. 222-233.
[3]
W.-C. Hsu and J.E. Smith, "Performance of Cached DRAM Organizations in Vector Supercomputers," Proc. 20th Ann. Int'l Symp. Computer Architecture, IEEE CS Press, Los Alamitos, Calif., 1993, pp. 327-336.
[4]
R.P. Koganti and G. Kedem, WCDRAM: A Fully Associative Integrated Cached-DRAM with Wide Cache Lines, tech. report CS-1997-03, Dept. of Computer Science, Duke Univ., Durham, N.C., 1997.
[5]
W. Wong and J.-L. Baer, DRAM On-Chip Caching, tech. report UW CSE 97-03-04, Dept. of Computer Science and Engineering, Univ. of Washington, 1997.
[6]
H. Hidaka, et al., "The Cache DRAM Architecture: A DRAM with an On-Chip Cache Memory," IEEE Micro, vol. 10, no. 2, Mar. 1990, pp. 14-25.
[7]
C.A. Hart, "CDRAM in a Unified Memory Architecture," Proc. 39th Int'l Computer Conf. (COMPCON 94), IEEE CS Press, Los Alamitos, Calif., 1994, pp. 261-266.
[8]
Y. Katayama, "Trends in Semiconductor Memories," IEEE Micro, Vol. 17, No. 6, Nov./Dec. 1997, pp. 10-17.
[9]
D.C. Burger and T.M. Austin, The SimpleScalar Tool Set, Version 2.0, tech. report CS-TR-1997-1342, Dept. of Computer Sciences, Univ. of Wisconsin, Madison, 1997.
[10]
Z. Zhang Z. Zhu and X. Zhang, "A Permutation-Based Page Interleaving Scheme to Reduce Row-Buffer Conflicts and Exploit Data Locality," Proc. 33rd Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-33), IEEE CS Press, Los Alamitos, Calif., 2000, pp. 32-41.
[11]
W.-F. Lin S. Reinhardt and D.C. Burger, "Reducing DRAM Latencies with an Integrated Memory Hierarchy Design," Proc. 7th Int'l Symp. High-Performance Computer Architecture (HPCA-7), IEEE CS Press, Los Alamitos, Calif., 2001, pp. 301-312.

Cited By

View all
  • (2017)Bank-Group Level ParallelismIEEE Transactions on Computers10.1109/TC.2017.266547566:8(1428-1434)Online publication date: 1-Aug-2017
  • (2015)Saving memory movements through vector processing in the DRAMProceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.5555/2830689.2830705(117-126)Online publication date: 4-Oct-2015
  • (2015)History-Assisted Adaptive-Granularity Caches (HAAG$) for High Performance 3D DRAM ArchitecturesProceedings of the 29th ACM on International Conference on Supercomputing10.1145/2751205.2751227(251-261)Online publication date: 8-Jun-2015
  • Show More Cited By

Index Terms

  1. Cached DRAM for ILP Processor Memory Access Latency Reduction
    Index terms have been assigned to the content through auto-classification.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image IEEE Micro
    IEEE Micro  Volume 21, Issue 4
    July 2001
    57 pages

    Publisher

    IEEE Computer Society Press

    Washington, DC, United States

    Publication History

    Published: 01 July 2001

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 04 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2017)Bank-Group Level ParallelismIEEE Transactions on Computers10.1109/TC.2017.266547566:8(1428-1434)Online publication date: 1-Aug-2017
    • (2015)Saving memory movements through vector processing in the DRAMProceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.5555/2830689.2830705(117-126)Online publication date: 4-Oct-2015
    • (2015)History-Assisted Adaptive-Granularity Caches (HAAG$) for High Performance 3D DRAM ArchitecturesProceedings of the 29th ACM on International Conference on Supercomputing10.1145/2751205.2751227(251-261)Online publication date: 8-Jun-2015
    • (2014)Efficient Data Mapping and Buffering Techniques for Multilevel Cell Phase-Change MemoriesACM Transactions on Architecture and Code Optimization10.1145/266936511:4(1-25)Online publication date: 8-Dec-2014
    • (2014)Building and Optimizing MRAM-Based Commodity MemoriesACM Transactions on Architecture and Code Optimization10.1145/266710511:4(1-22)Online publication date: 8-Dec-2014
    • (2013)Reducing memory access latency with asymmetric DRAM bank organizationsACM SIGARCH Computer Architecture News10.1145/2508148.248595541:3(380-391)Online publication date: 23-Jun-2013
    • (2013)Reducing memory access latency with asymmetric DRAM bank organizationsProceedings of the 40th Annual International Symposium on Computer Architecture10.1145/2485922.2485955(380-391)Online publication date: 23-Jun-2013
    • (2013)Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSVIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.217676121:1(1-13)Online publication date: 1-Jan-2013
    • (2012)A case for exploiting subarray-level parallelism (SALP) in DRAMProceedings of the 39th Annual International Symposium on Computer Architecture10.5555/2337159.2337202(368-379)Online publication date: 9-Jun-2012
    • (2012)A case for exploiting subarray-level parallelism (SALP) in DRAMACM SIGARCH Computer Architecture News10.1145/2366231.233720240:3(368-379)Online publication date: 9-Jun-2012
    • Show More Cited By

    View Options

    View options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media