Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Gate sizing for constrained delay/power/area optimization

Published: 01 December 1997 Publication History

Abstract

Gate sizing has a significant impact on the delay, power dissipation, and area of the final circuit. It consists of choosing for each node of a mapped circuit a gate implementation in the library so that a cost function is optimized under some constraints. For instance, one wants to minimize the power consumption and/or the area of a circuit under some user-defined delay constraints, or to obtain the fastest circuit within a given power budget. Although this technology-dependent optimization has been investigated for years, the proposed approaches sometimes rely on assumptions, cost models, or algorithms that make them unrealistic or impossible to apply on real-life large circuits. We discussed here a gate sizing algorithm (GS), and show how it is used to achieve constrained optimization. It can be applied on large circuits within a reasonable CPU time, e.g., minimizing the power of a 10000 gates circuit under some delay constraint in 2 h.

Cited By

View all
  • (2023)Upgraded Design of 4-bit Absolute-Value Detector Adopting Simplified Circuit SchemeProceedings of the 6th International Conference on Information Technologies and Electrical Engineering10.1145/3640115.3640117(8-15)Online publication date: 3-Nov-2023
  • (2023)Task-Based Parallel Programming for Gate SizingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319749042:4(1309-1322)Online publication date: 1-Apr-2023
  • (2018)Automated Phase-Noise-Aware Design of RF Clock Distribution CircuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.286431626:11(2395-2405)Online publication date: 1-Nov-2018
  • Show More Cited By

Index Terms

  1. Gate sizing for constrained delay/power/area optimization

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      Publisher

      IEEE Educational Activities Department

      United States

      Publication History

      Published: 01 December 1997

      Author Tags

      1. delay/power/area tradeoff
      2. discrete constrained optimization
      3. gate sizing

      Qualifiers

      • Research-article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 04 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)Upgraded Design of 4-bit Absolute-Value Detector Adopting Simplified Circuit SchemeProceedings of the 6th International Conference on Information Technologies and Electrical Engineering10.1145/3640115.3640117(8-15)Online publication date: 3-Nov-2023
      • (2023)Task-Based Parallel Programming for Gate SizingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319749042:4(1309-1322)Online publication date: 1-Apr-2023
      • (2018)Automated Phase-Noise-Aware Design of RF Clock Distribution CircuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.286431626:11(2395-2405)Online publication date: 1-Nov-2018
      • (2017)Voltage scaling for 3-D ICsMicroelectronics Journal10.1016/j.mejo.2017.09.00569:C(35-44)Online publication date: 1-Nov-2017
      • (2016)Efficient Algorithms for Discrete Gate Sizing and Threshold Voltage Assignment Based on an Accurate Analytical Statistical Yield GradientACM Transactions on Design Automation of Electronic Systems10.1145/289681921:4(1-27)Online publication date: 18-May-2016
      • (2016)Challenges of cell selection algorithms in industrial high performance microprocessor designsIntegration, the VLSI Journal10.1016/j.vlsi.2015.09.00152:C(347-354)Online publication date: 1-Jan-2016
      • (2015)GTFUZZProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755908(677-682)Online publication date: 9-Mar-2015
      • (2015)Lookup Table Based Discrete Gate Sizing for Delay Minimization with Modified Elmore Delay ModelProceedings of the 25th edition on Great Lakes Symposium on VLSI10.1145/2742060.2742094(361-366)Online publication date: 20-May-2015
      • (2014)A Hybrid Technique for Discrete Gate Sizing Based on Lagrangian RelaxationACM Transactions on Design Automation of Electronic Systems10.1145/264795619:4(1-25)Online publication date: 29-Aug-2014
      • (2013)Fast and efficient lagrangian relaxation-based discrete gate sizingProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485725(1855-1860)Online publication date: 18-Mar-2013
      • Show More Cited By

      View Options

      View options

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media