Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/ICCAD51958.2021.9643455guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration Framework

Published: 01 November 2021 Publication History

Abstract

The microarchitecture design of a processor has been increasingly difficult due to the large design space and time-consuming verification flow. Previously, researchers rely on prior knowledge and cycle-accurate simulators to analyze the performance of different microarchitecture designs but lack sufficient discussions on methodologies to strike a good balance between power and performance. This work proposes an automatic framework to explore microarchitecture designs of the RISC-V Berkeley Out-of-Order Machine (BOOM), termed as BOOM-Explorer, achieving a good trade-off on power and performance. Firstly, the framework utilizes an advanced microarchitecture-aware active learning (MicroAL) algorithm to generate a diverse and representative initial design set. Secondly, a Gaussian process model with deep kernel learning functions (DKL-GP) is built to characterize the design space. Thirdly, correlated multi-objective Bayesian optimization is leveraged to explore Pareto-optimal designs. Experimental results show that BOOM-Explorer can search for designs that dominate previous arts and designs developed by senior engineers in terms of power and performance within a much shorter time.

References

[1]
K. Asanovic, D. A. Patterson, and C. Celio, “The berkeley out-of-order machine (BOOM): An industry-competitive, synthesizable, parameterized RISC- V processor,” Berkeley: University of California, Tech. Rep., 2015.
[2]
C. P. Celio, A Highly Productive Implementation of an Out-of-Order Processor Generator. eScholarship, University of California, 2017.
[3]
J. Bachrach, H. Vo, B. Richards, Y. Lee, A. Waterman, R. Avizienis, J. Wawrzynek, and K. Asanovic, “Chisel: constructing hardware in a scala embedded language,” in ACM/IEEE Design Automation Conference (DAC), 2012, pp. 1212–1221.
[4]
S. Salamin, M. Rapp, A. Pathania, A. Maity, J. Henkel, T. Mitra, and H. Amrouch, “Power-efficient heterogeneous many-core design with ncfet technology,” IEEE Transactions on Computers, vol. 70, no. 9, pp. 1484–1497, 2021.
[5]
B. Grayson, J. Rupley, G. Z. Zuraski, E. Quinnell, D. A. Jimenez, T. Nakra, P. Kitchin, R. Hensley, E. Brckelbaum, V. Sinha,et al., “Evo-lution of the samsung exynos CPU micro architecture,” in IEEE/ACM International Symposium on Computer Architecture (ISCA), 2020, pp. 40–51.
[6]
D. Li, S. Yao, Y.-H. Liu, S. Wang, and X.-H. Sun, “Efficient design space exploration via statistical sampling and adaboost learning,” in ACM/IEEE Design Automation Conference (DAC), 2016, pp. 1–6.
[7]
M. Moudgill, P. Bose, and J. H. Moreno, “Validation of turandot, a fast processor model for microarchitecture exploration,” in International Performance Computing and Communications Conference (IPCCC), 1999, pp. 451–457.
[8]
T. Austin, E. Larson, and D. Ernst, “SimpleScalar: An infrastructure for computer system modeling,” Computer, vol. 35, no. 2, pp. 59–67, 2002.
[9]
D. Brooks, P. Bose, V. Srinivasan, M. K. Gschwind, P. G. Emma, and M. G. Rosenfield, “New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors,” IBM Journal of Research and Development, vol. 47, no. 5.6, pp. 653–670, 2003.
[10]
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestncss, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood, “The gem5 simulator,” SIGARCH Comput. Archit. News, vol. 39, no. 2, p. 1–7, Aug. 2011. [Online]. Available: https://doi.org/10.1145/2024716.2024718.
[11]
E. Perelman, G. Hamerly, M. Van Biesbrouck, T. Sherwood, and B. Calder, “Using simpoint for accurate and efficient simulation,” ACM SIGMETRICS Performance Evaluation Review, vol. 31, no. 1, pp. 318–319, 2003.
[12]
Y.-I. Kim and C.-M. Kyung, “Automatic translation of behavioral testbench for fully accelerated simulation,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2004, pp. 218–221.
[13]
S. Beamer and D. Donofrio, “Efficiently exploiting low activity factors to accelerate RTL simulation,” in ACM/IEEE Design Automation Conference (DAC), 2020, pp. 1–6.
[14]
J. Feldmann, K. Kraft, L. Steiner, N. Wehn, and M. Jung, “Fast and accurate DRAM simulation: Can we further accelerate it?” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2020, pp. 364–369.
[15]
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi, “McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures,” in IEEE/ACM International Symposium on Microarchitecture (MICRO), 2009, pp. 469–480.
[16]
K. Yu, J. Bi, and V. Tresp, “Active Learning via Transductive Experimental Design,” in International Conference on Machine Learning (ICML), 2006, pp. 1081–1088.
[17]
Q. Sun, T. Chen, S. Liu, J. Miao, J. Chen, H. Yu, and B. Yu, “Correlated multi-objective multi-fidelity optimization for hls directives design,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2021.
[18]
E. Ipek, S. A. McKee, R. Caruana, B. R. de Supinski, and M. Schulz, “Efficiently exploring architectural design spaces via predictive modeling,” ACM SIGOPS Operating Systems Review, vol. 40, no. 5, pp. 195–206, 2006.
[19]
B. C. Lee and D. M. Brooks, “Illustrative design space studies with microarchitectural regression models,” in IEEE International Symposium on High Performance Computer Architecture (HPCA), 2007, pp. 340–351.
[20]
D. Wu, C.-T. Lin, and J. Huang, “Active learning for regression using greedy sampling,” Information Sciences, vol. 474, pp. 90–105, 2019.
[21]
D. Li, S. Yao, S. Wang, and Y. Wang, “Cross-program design space exploration by ensemble transfer learning,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017, pp. 201–208.
[22]
H.-Y. Liu and L. P. Carloni, “On learning-based methods for design-space exploration with high-level synthesis,” in ACM/IEEE Design Automation Conference (DAC), 2013, pp. 1–7.
[23]
S. Liu, F. C. Lau, and B. C. Schafer, “Accelerating FPGA prototyping through predictive model-based HLS design space exploration,” in ACM/IEEE Design Automation Conference (DAC), 2019, pp. 1–6.
[24]
Q. Sun, C. Bai, H. Geng, and B. Yu, “Deep Neural Network Hardware Deployment Optimization via Advanced Active Learning,” in IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE), 2019.
[25]
Y. Ma, S. Roy, J. Miao, J. Chen, and B. Yu, “Cross-layer optimization for high speed adders: A pareto driven machine learning approach,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 38, no. 12, pp. 2298–2311, 2018.
[26]
Y. Ma, Z. Yu, and B. Yu, “CAD Tool Design Space Exploration via Bayesian Optimization,” in ACM/IEEE Workshop on Machine Learning CAD (MLCAD), 2019, pp. 1–6.
[27]
J. Devlin, M.- W. Chang, K. Lee, and K. Toutanova, “Bert: Pre-training of deep bidirectional transformers for language understanding,” arXiv preprint arXiv:, 2018.
[28]
Q. Sun, A. A. Rao, X. Yao, B. Yu, and S. Hu, “Counteracting adversarial attacks in autonomous driving,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020, pp. 1–7.
[29]
Z. Liu, Y. Lin, Y. Cao, H. Hu, Y. Wei, Z. Zhang, S. Lin, and B. Guo, “Swin transformer: Hierarchical vision transformer using shifted windows,” arXiv preprint arXiv:, 2021.
[30]
A. G. Wilson, Z. Hu, R. Salakhutdinov, and E. P. Xing, “Deep kernel learning,” in Artificial intelligence and statistics. PMLR, 2016, pp. 370–378.
[31]
W. Lyu, F. Yang, C. Yan, D. Zhou, and X. Zeng, “Batch bayesian optimization via multi-objective acquisition ensemble for automated analog circuit design,” in International Conference on Machine Learning (ICML). PMLR, 2018, pp. 3306–3314.
[32]
A. Shah and Z. Ghahramani, “Pareto frontier learning with expensive correlated objectives,” in International Conference on Machine Learning (ICML), 2016, pp. 1919–1927.
[33]
S. Daulton, M. Balandat, and E. Bakshy, “Differentiable expected hypervolume improvement for parallel multi-objective bayesian optimization,” Advances in Neural Information Processing Systems, vol. 33, 2020.
[34]
A. Amid, D. Biancolin, A. Gonzalez, D. Grubb, S. Karandikar, H. Liew, A. Magyar, H. Mao, A. Ou, N. Pemberton, P. Rigge, C. Schmidt, J. Wright, J. Zhao, Y. S. Shao, K. Asanovic, and B. Nikolic, “Chip-yard: Integrated design, simulation, and implementation framework for custom socs,” IEEE Micro, vol. 40, no. 4, pp. 10–21, 2020.
[35]
V. Vashishtha, M. Vangala, and L. T. Clark, “Asap7 predictive design kit development and cell design technology co-optimization,” in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017, pp. 992–998.
[36]
S. Liu, F. C. Lau, and B. C. Schafer, “Accelerating FPGA Prototyping through Predictive Model-Based HLS Design Space Exploration,” in ACM/IEEE Design Automation Conference (DAC), 2019, pp. 1–6.
[37]
T. Chen and C. Guestrin, “XGBoost: A scalable tree boosting system,” in ACM International Conference on Knowledge Discovery and Data Mining (KDD), 2016, pp. 785–794.
[38]
D. P. Kingma and J. Ba, “Adam: A method for stochastic optimization,” arXiv preprint arXiv:, 2014.
[39]
V. Zivojnovic, J. Martinez, C. Schlager, and H. Meyr, “DSPstone: A DSP-Oriented Benchmarking Methodology,” in Proc. ICSPAT, 1994.
[40]
M. KUZHAN and V. H. SAHiN, “MBBench: A WCET benchmark suite,” Sakarya University Journal of Computer and Information Sciences, vol. 3, no. 1, pp. 40–50, 2020.

Cited By

View all
  • (2024)Enabling Risk Management of Machine Learning Predictions for FPGA RoutabilityProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685969(1-9)Online publication date: 9-Sep-2024
  • (2024)Resource-Constraint Bayesian Optimization for Soft Processors on FPGAsProceedings of the 14th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3665283.3665291(27-36)Online publication date: 19-Jun-2024
  • (2024)On Advanced Methodologies for Microarchitecture Design Space ExplorationProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3658764(376-382)Online publication date: 12-Jun-2024
  • Show More Cited By

Index Terms

  1. BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration Framework
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image Guide Proceedings
          2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)
          Nov 2021
          1272 pages

          Publisher

          IEEE Press

          Publication History

          Published: 01 November 2021

          Qualifiers

          • Research-article

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)0
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 08 Feb 2025

          Other Metrics

          Citations

          Cited By

          View all
          • (2024)Enabling Risk Management of Machine Learning Predictions for FPGA RoutabilityProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685969(1-9)Online publication date: 9-Sep-2024
          • (2024)Resource-Constraint Bayesian Optimization for Soft Processors on FPGAsProceedings of the 14th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3665283.3665291(27-36)Online publication date: 19-Jun-2024
          • (2024)On Advanced Methodologies for Microarchitecture Design Space ExplorationProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3658764(376-382)Online publication date: 12-Jun-2024
          • (2024)Knowing The Spec to Explore The Design via Transformed Bayesian OptimizationProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3658262(1-6)Online publication date: 23-Jun-2024
          • (2024)KATO: Knowledge Alignment And Transfer for Transistor Sizing Of Different Design and TechnologyProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3657380(1-6)Online publication date: 23-Jun-2024
          • (2024)Multiobjective Optimization for PSIJ Mitigation and Impedance Improvement Based on PCPS/DR-NSDE in Chiplet-Based 2.5-D SystemsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.336602443:8(2235-2248)Online publication date: 1-Aug-2024
          • (2024)LSTP : A Logic Synthesis Timing PredictorProceedings of the 29th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC58780.2024.10473925(728-733)Online publication date: 22-Jan-2024
          • (2024)SoC-Tuner: An Importance-Guided Exploration Framework for DNN-Targeting SoC DesignProceedings of the 29th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC58780.2024.10473826(207-212)Online publication date: 22-Jan-2024
          • (2023)BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space ExplorationACM Transactions on Design Automation of Electronic Systems10.1145/363001329:1(1-23)Online publication date: 18-Dec-2023
          • (2023)A Chisel Framework for Flexible Design Space Exploration through a Functional ApproachACM Transactions on Design Automation of Electronic Systems10.1145/359076928:4(1-31)Online publication date: 18-May-2023
          • Show More Cited By

          View Options

          View options

          Figures

          Tables

          Media

          Share

          Share

          Share this Publication link

          Share on social media