Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Scaling to the End of Silicon with EDGE Architectures

Published: 01 July 2004 Publication History

Abstract

Post-RISC microprocessor designs must introduce new ISAs to address the challenges that modern CMOS technologies pose while also exploiting the massive levels of integration now possible. To meet these challenges, the TRIPS Team at the University of Texas at Austin has developed a new class of ISAs, called Explicit Data Graph Execution, that will match the characteristics of semiconductor technology over the next decade.EDGE architectures appear to offer a progressively better solution as technology scales down to the end of silicon, with each generation providing a richer spatial substrate at the expense of increased global communication delays.

References

[1]
M.S. Hrishikesh, et al., "The Optimal Logic Depth per Pipeline Stage Is 6 to 8 fo4 Inverter Delays," Proc. 29th Int'l Symp. Computer Architecture (ISCA 02), IEEE CS Press, 2002, pp. 14-24.
[2]
S.A. Mahlke, et al., "Effective Compiler Support for Predicated Execution Using the Hyperblock," Proc. 25th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-25), IEEE CS Press, 1992, pp. 45-54.
[3]
R.A. Chowdhury, et al., "The Limits of Alias Analysis for Scalar Optimizations," Proc. ACM SIGPLAN 2004 Conf. Compiler Construction, ACM Press, 2004, pp. 24-38.
[4]
K. Sankaralingam, et al., "Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture," Proc. 30th Int'l Symp. Computer Architecture (ISCA 03), IEEE CS Press, 2003, pp. 422-433.
[5]
K. Sankaralingam, et al., "Universal Mechanisms for Data-Parallel Architectures," Proc. 36th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-36), IEEE CS Press, 2003, pp. 303-314.
[6]
D.M. Tullsen S.J. Eggers and H.M. Levy, "Simultaneous Multithreading: Maximizing On-Chip Parallelism," Proc. 22nd Int'l Symp. Computer Architecture (ISCA 95), IEEE CS Press, 1995, pp. 392-403.
[7]
K. Olukotun, et al., "The Case for a Single-Chip Multiprocessor," Proc. 6th Int'l Conf. Architectural Support for Programming Languages and Operating Systems (ASPLOS 94), ACM Press, 1994, pp. 2-11.
[8]
K. Mai, et al., "Smart Memories: A Modular Reconfigurable Architecture," Proc. 27th Int'l Symp. Computer Architecture (ISCA 00), IEEE CS Press, 2000, pp. 161-171.
[9]
S. Rixner, et al., "A Bandwidth-Efficient Architecture for Media Processing," Proc. 31st Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-31), IEEE CS Press, 1998, pp. 3-13.
[10]
E. Waingold, et al., "Baring It All to Software: RAW Machines," Computer, Sept. 1997, pp. 86-93.
[11]
J.A. Fisher, et al., "Parallel Processing: A Smart Compiler and a Dumb Machine," Proc. 1984 SIGPLAN Symp. Compiler Construction, ACM Press, 1984, pp. 37-47.
[12]
K.I. Farkas, et al., "The Multicluster Architecture: Reducing Cycle Time Through Partitioning," Proc. 30th Ann. IEEE/ACM Int'l Symp. Microarchitecture (MICRO-30), IEEE CS Press, 1997, pp. 149-159.
[13]
G.S. Sohi S.E. Breach and T.N. Vijaykumar, "Multiscalar Processors," Proc. 22nd Int'l Symp. Computer Architecture (ISCA 95), IEEE CS Press, 1995, pp. 414-425.
[14]
Arvind, "Data Flow Languages and Architecture," Proc. 8th Int'l Symp. Computer Architecture (ISCA 81), IEEE CS Press, 1981, p. 1.
[15]
H.T. Kung, "Why Systolic Architectures?" Computer, Jan. 1982, pp. 37-46.

Cited By

View all
  • (2024)Survival of the Fastest: Enabling More Out-of-Order Execution in Dataflow CircuitsProceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3626202.3637556(44-54)Online publication date: 1-Apr-2024
  • (2023)Clockhands: Rename-free Instruction Set Architecture for Out-of-order ProcessorsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614272(1-16)Online publication date: 28-Oct-2023
  • (2023)Allocation and Scheduling of Dataflow Graphs on Hybrid Dataflow/von Neumann ArchitecturesProceedings of the 21st ACM-IEEE International Conference on Formal Methods and Models for System Design10.1145/3610579.3611079(59-70)Online publication date: 21-Sep-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Computer
Computer  Volume 37, Issue 7
July 2004
84 pages

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 01 July 2004

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 15 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Survival of the Fastest: Enabling More Out-of-Order Execution in Dataflow CircuitsProceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays10.1145/3626202.3637556(44-54)Online publication date: 1-Apr-2024
  • (2023)Clockhands: Rename-free Instruction Set Architecture for Out-of-order ProcessorsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614272(1-16)Online publication date: 28-Oct-2023
  • (2023)Allocation and Scheduling of Dataflow Graphs on Hybrid Dataflow/von Neumann ArchitecturesProceedings of the 21st ACM-IEEE International Conference on Formal Methods and Models for System Design10.1145/3610579.3611079(59-70)Online publication date: 21-Sep-2023
  • (2023)Consistency Constraints for Mapping Dataflow Graphs to Hybrid Dataflow/von Neumann ArchitecturesACM Transactions on Embedded Computing Systems10.1145/360786922:5(1-25)Online publication date: 26-Sep-2023
  • (2022)CalipersProceedings of the 36th ACM International Conference on Supercomputing10.1145/3524059.3532390(1-14)Online publication date: 28-Jun-2022
  • (2022)Code generation criteria for buffered exposed datapath architectures from dataflow graphsProceedings of the 23rd ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems10.1145/3519941.3535076(133-145)Online publication date: 14-Jun-2022
  • (2022)Pasithea-1: An Energy-Efficient Self-contained CGRA with RISC-Like ISAArchitecture of Computing Systems10.1007/978-3-031-21867-5_3(33-47)Online publication date: 13-Sep-2022
  • (2021)Translating structured sequential programs to dataflow graphsProceedings of the 19th ACM-IEEE International Conference on Formal Methods and Models for System Design10.1145/3487212.3487343(66-77)Online publication date: 20-Nov-2021
  • (2021)Capstan: A Vector RDA for SparsityMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480047(1022-1035)Online publication date: 18-Oct-2021
  • (2021)OmegaflowProceedings of the 35th ACM International Conference on Supercomputing10.1145/3447818.3460367(152-163)Online publication date: 3-Jun-2021
  • Show More Cited By

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media