Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/MICRO.2007.15acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article

Flattened Butterfly Topology for On-Chip Networks

Published: 01 December 2007 Publication History
  • Get Citation Alerts
  • Abstract

    With the trend towards increasing number of cores in chip multiprocessors, the on-chip interconnect that connects the cores needs to scale efficiently. In this work, we propose the use of high-radix networks in on-chip interconnection net- works and describe how the flattened butterfly topology can be mapped to on-chip networks. By using high-radix routers to reduce the diameter of the network, the flattened butterfly offers lower latency and energy consumption than conven- tional on-chip topologies. In addition, by exploiting the two dimensional planar VLSI layout, the on-chip flattened but- terfly can exploit the bypass channels such that non-minimal routing can be used with minimal impact on latency and en- ergy consumption. We evaluate the flattened butterfly and compare it to alternate on-chip topologies using synthetic traffic patterns and traces and show that the flattened but- terfly can increase throughput by up to 50% compared to a concentrated mesh and reduce latency by 28% while re- ducing the power consumption by 38% compared to a mesh network.

    Cited By

    View all
    • (2022)A software-defined tensor streaming multiprocessor for large-scale machine learningProceedings of the 49th Annual International Symposium on Computer Architecture10.1145/3470496.3527405(567-580)Online publication date: 18-Jun-2022
    • (2021)A scalable NoC topology targeting network performanceProceedings of the 14th International Workshop on Network on Chip Architectures10.1145/3477231.3490428(4-9)Online publication date: 18-Oct-2021
    • (2020)A cube-tree hybrid NoC topology with 3D mirroring technique for load balancingProceedings of the 1st ACM International Workshop on Nanoscale Computing, Communication, and Applications10.1145/3416006.3431278(26-32)Online publication date: 16-Nov-2020
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    MICRO 40: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
    December 2007
    435 pages
    ISBN:0769530478

    Sponsors

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 01 December 2007

    Check for updates

    Qualifiers

    • Article

    Conference

    Micro-40
    Sponsor:

    Acceptance Rates

    MICRO 40 Paper Acceptance Rate 35 of 166 submissions, 21%;
    Overall Acceptance Rate 484 of 2,242 submissions, 22%

    Upcoming Conference

    MICRO '24

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)8
    • Downloads (Last 6 weeks)2

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)A software-defined tensor streaming multiprocessor for large-scale machine learningProceedings of the 49th Annual International Symposium on Computer Architecture10.1145/3470496.3527405(567-580)Online publication date: 18-Jun-2022
    • (2021)A scalable NoC topology targeting network performanceProceedings of the 14th International Workshop on Network on Chip Architectures10.1145/3477231.3490428(4-9)Online publication date: 18-Oct-2021
    • (2020)A cube-tree hybrid NoC topology with 3D mirroring technique for load balancingProceedings of the 1st ACM International Workshop on Nanoscale Computing, Communication, and Applications10.1145/3416006.3431278(26-32)Online publication date: 16-Nov-2020
    • (2019)Smart-Hop Arbitration Request PropagationACM Transactions on Design Automation of Electronic Systems10.1145/335623524:6(1-25)Online publication date: 14-Oct-2019
    • (2019)Express Link Placement for NoC-Based Many-Core PlatformsProceedings of the 48th International Conference on Parallel Processing10.1145/3337821.3337877(1-10)Online publication date: 5-Aug-2019
    • (2019)A Survey of On-Chip Optical InterconnectsACM Computing Surveys10.1145/326793451:6(1-34)Online publication date: 28-Jan-2019
    • (2018)FreewayNoCProceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip10.5555/3306619.3306627(1-8)Online publication date: 4-Oct-2018
    • (2018)Enhancing computation-to-core assignment with physical location informationACM SIGPLAN Notices10.1145/3296979.319238653:4(312-327)Online publication date: 11-Jun-2018
    • (2018)LTRFACM SIGPLAN Notices10.1145/3296957.317321153:2(489-502)Online publication date: 19-Mar-2018
    • (2018)DDRNoCACM Transactions on Architecture and Code Optimization10.1145/320020115:2(1-24)Online publication date: 8-Jun-2018
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media