Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
survey

A Survey of On-Chip Optical Interconnects

Published: 28 January 2019 Publication History

Abstract

Numerous challenges present themselves when scaling traditional on-chip electrical networks to large manycore processors. Some of these challenges include high latency, limitations on bandwidth, and power consumption. Researchers have therefore been looking for alternatives. As a result, on-chip nanophotonics has emerged as a strong substitute for traditional electrical NoCs.
As of 2017, on-chip optical networks have moved out of textbooks and found commercial applicability in short-haul networks such as links between servers on the same rack or between two components on the motherboard. It is widely acknowledged that in the near future, optical technologies will move beyond research prototypes and find their way into the chip. Optical networks already feature in the roadmaps of major processor manufacturers and most on-chip optical devices are beginning to show signs of maturity.
This article is designed to provide a survey of on-chip optical technologies covering the basic physics underlying the operation of optical technologies, optical devices, popular architectures, power reduction techniques, and applications. The aim of this survey article is to start from the fundamental concepts and move on to the latest in the field of on-chip optical interconnects.

References

[1]
V. R. Almeida, R. R. Panepucci, and M. Lipson. 2003. Nanotaper for compact mode conversion. Optics Letters 28, 15 (2003), 1302--1304.
[2]
M. C. Amann and W. Hofmann. 2009. InP-based long-wavelength VCSELs and VCSEL arrays. IEEE Journal of Selected Topics in Quantum Electronics 15, 3 (2009), 861--868.
[3]
S. Aral. 2005. Distributed Bragg reflector laser. In Encyclopedic Handbook of Integrated Optics. 36.
[4]
I. Artundo, W. Heirman, M. Loperena, C. Debaes, J. Van Campenhout, and H. Thienpont. 2009. Low-power reconfigurable network architecture for on-chip photonic interconnects. In 17th IEEE Symposium on High Performance Interconnects, 2009 (HOTI’09). IEEE, 163--169.
[5]
S. Bahirat and S. Pasricha. 2009. Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors. In Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES'09). ACM, 129--136.
[6]
S. Bahirat and S. Pasricha. 2010. UC-PHOTON: A novel hybrid photonic network-on-chip for multiple use-case applications. In 2010 11th International Symposium on Quality Electronic Design (ISQED’10). IEEE, 721--729.
[7]
Y. Bai, N. Bandyopadhyay, S. Tsao, S. Slivken, and M. Razeghi. 2011. Room temperature quantum cascade lasers with 27% wall plug efficiency. Applied Physics Letters 98, 18 (2011), 181102.
[8]
C. A. Barrios, V. R. de Almeida, and M. Lipson. 2003. Low-power-consumption short-length and high-modulation-depth silicon electrooptic modulator. Journal of Lightwave Technology 21, 4 (April 2003), 1089--1098.
[9]
J. Bashir and Smruti R. Sarangi. 2017. NUPLet: A photonics based multi-chip NUCA architecture. In 2017 IEEE 35th International Conference on Computer Design (ICCD’17). IEEE.
[10]
C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, M. Popovic, H. Li, H. I. Smith, J. Hoyt, et al. 2008. Building manycore processor-to-dram networks with monolithic silicon photonics. In HOTI. IEEE.
[11]
S. Beamer, K. Asanović, C. Batten, A. Joshi, and V. Stojanović. 2009. Designing multi-socket systems using silicon photonics. In ICS. ACM.
[12]
S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, M. Reif, L. Bao, J. Brown, M. Mattina, C. C. Miao, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook. 2008. TILE64 - Processor: A 64-core SoC with mesh interconnect. In 2008 IEEE ISSCC - Digest of Technical Papers.
[13]
R. A. Bergh, G. Kotler, and H. J. Shaw. 1980. Single-mode fibre optic directional coupler. Electronics Letters 16, 7 (March 1980), 260--261.
[14]
K. Bergman, L. P. Carloni, A. Biberman, J. Chan, and G. Hendry. 2014. Single-mode optical waveguide using siloxane polymer on Cu-polyimide substrate. In Photonic Network-on-Chip Design. 165--172.
[15]
S. Le Beux, J. Trajkovic, I. O’Connor, G. Nicolescu, G. Bois, and P. Paulin. 2010. Multi-optical network-on-chip for large scale MPSoC. IEEE Embedded Systems Letters 2, 3 (Sept. 2010), 77--80.
[16]
A. Bianco, D. Cuda, M. Garrich, G. G. Castillo, R. Gaudino, and P. Giaccone. 2012. Optical interconnection networks based on microring resonators. IEEE/OSA Journal of Optical Communications and Networking 4, 7 (2012), 546--556.
[17]
N. Binkert, A. Davis, M. Lipasti, R. Schreiber, and D. Vantrease. 2009. Nanophotonic barriers. In Workshop on Photonic Interconnects and Computer Architecture (In Conjunction with MICRO 41). 1--4
[18]
T. A. Birks and Y. W. Li. 1992. The shape of fiber tapers. Journal of Lightwave Technology 10, 4 (April 1992), 432--438.
[19]
W. Bogaerts, P. De Heyn, T. V. Vaerenbergh, K. De Vos, S. K. Selvaraja, T. Claes, P. Dumon, P. Bienstman, D. V. Thourhout, and R. Baets. 2012. Silicon microring resonators. Laser 8 Photonics Reviews 6, 1 (2012), 47--73.
[20]
W. Bogaerts, M. Fiers, and P. Dumon. 2014. Design challenges in silicon photonics. IEEE Journal of Selected Topics in Quantum Electronics 20, 4 (July 2014), 1--8.
[21]
J.-R. Burie, G. Beuchet, M. Mimoun, P. Pagnod-Rossiaux, B. Ligat, J. C. Bertreux, J.-M. Rousselet, J. Dufour, P. Rougeolle, and F. Laruelle. 2010. Ultra high power, ultra low RIN up to 20 GHz 1.55 μm DFB AlGaInAsP laser for analog applications. In OPTO. International Society for Optics and Photonics, 76160Y--76160Y.
[22]
A. Canciamilla, M. Torreggiani, C. Ferrari, F. Morichetti, R. Costa, and A. Melloni. 2009. Backscatter in integrated optical waveguides and circuits. In Proc. SPIE.
[23]
J. Chan and K. Bergman. 2012. Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications. IEEE/OSA Journal of Optical Communications and Networking 4, 3 (March 2012), 189--201.
[24]
J. Chan, G. Hendry, A. Biberman, and K. Bergman. 2010. Architectural design exploration of chip-scale photonic interconnection networks using physical-layer analysis. In National Fiber Optic Engineers Conference. 1--3.
[25]
S. Chandran, E. Peter, P. R. Panda, and S. R. Sarangi. 2016. A generic implementation of barriers using optical interconnects. In VLSID.
[26]
K. N. Chen, M. J. Kobrinsky, B. C. Barnett, and R. Reif. 2004. Comparisons of conventional, 3-D, optical, and RF interconnects for on-chip clock distribution. IEEE Transactions on Electron Devices 51, 2 (2004), 233--239.
[27]
X. Chen, M. Mohamed, Z. Li, L. Shang, and A. R. Mickelson. 2013. Process variation in silicon photonic devices. Applied Optics (Nov 2013), 7638--7647.
[28]
L. Chrostowski, X. Wang, J. Flueckiger, Y. Wu, Y. Wang, and S. T. Fard. 2014. Impact of fabrication non-uniformity on chip-scale silicon photonic integrated circuits. In OFC’14. 1--3.
[29]
M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi. 2009. Phastlane: A rapid transit optical routing network. In ACM SIGARCH Computer Architecture News, Vol. 37. ACM, 441--450.
[30]
C. Condrat, P. Kalla, and S. Blair. 2013. Crossing-aware channel routing for photonic waveguides. In 2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS’13). IEEE, 649--652.
[31]
W. J. Dally and B. Towles. 2001. Route packets, not wires: On-chip interconnection networks. In DAC. IEEE.
[32]
Y. Demir and N. Hardavellas. 2014. EcoLaser: An adaptive laser control for energy-efficient on-chip photonic interconnects. In ISLPED. ACM.
[33]
Y. Demir, Y. Pan, S. Song, N. Hardavellas, J. Kim, and G. Memik. 2014. Galaxy: A high-performance energy-efficient multi-chip architecture using photonic interconnects. In ICS. ACM.
[34]
M. Faugeron, M. Chtioui, A. Enard, O. Parillaud, F. Lelarge, M. Achouche, J. Jacquet, A. Marceaux, and F. van Dijk. 2013a. High optical power, high gain and high dynamic range directly modulated optical link. Journal of Lightwave Technology 31, 8 (April 2013), 1227--1233.
[35]
M. Faugeron, M. Tran, F. Lelarge, M. Chtioui, Y. Robert, E. Vinet, A. Enard, J. Jacquet, and F. V. Dijk. 2012. High-power, low RIN 1.55-directly modulated DFB lasers for analog signal transmission. Photonics Technology Letters 24, 2 (2012), 116--118.
[36]
M. Faugeron, M. Tran, O. Parillaud, M. Chtioui, Y. Robert, E. Vinet, A. Enard, J. Jacquet, and F. V. Dijk. 2013b. High-power tunable dilute mode DFB laser with low RIN and narrow linewidth. IEEE Photonics Technology Letters 25, 1 (2013), 7--10.
[37]
B. S. Feero and P. P. Pande. 2009. Networks-on-chip in a three-dimensional environment: A performance evaluation. IEEE Transactions on Computers 58, 1 (Jan. 2009), 32--45.
[38]
B. Fu, Y. Han, H. Li, and X. Li. 2010. Accelerating lightpath setup via broadcasting in binary-tree waveguide in optical NoCs. In DATE.
[39]
J. Fujikata, K. Nishi, A. Gomyo, J. Ushida, I. Tsutomu, H. Yukawa, D. Okamoto, M. Nakada, T. Shimizu, M. Kinoshita, and K. Nose. 2008. LSI on-chip optical interconnection with Si nano-photonics. IEICE Transactions on Electronics 91, 2 (2008), 131--137.
[40]
T. Fukamachi, K. Adachi, K. Shinoda, S. Tsuji, T. Kitatani, S. Tanaka, and M. Aoki. 2010. Recent progress in 1.3-μm uncooled InGaAlAs directly modulated lasers. In 2010 22nd IEEE International Semiconductor Laser Conference (ISLC’10). IEEE, 189--190.
[41]
M. W. Geis, S. J. Spector, M. E. Grein, R. T. Schulein, J. U. Yoon, D. M. Lennon, S. Deneault, F. Gan, F. X. Kaertner, and T. M. Lyszczarz. 2007. CMOS-compatible all-Si high-speed waveguide photodiodes with high responsivity in near-infrared communication band. IEEE Photonics Technology Letters 19, 3 (2007), 152--154.
[42]
J. W. Goodman, F. J. Leonberger, S.-Y. Kung, and R. A. Athale. 1984. Optical interconnections for VLSI systems. Proceedings of the IEEE 72, 7 (July 1984), 850--866.
[43]
H. Gu, J. Xu, and Z. Wang. 2008. A novel optical mesh network-on-chip for gigascale systems-on-chip. In APCCAS.
[44]
H. Gu, J. Xu, and W. Zhang. 2009. A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 3--8.
[45]
H. Haeiwa, T. Naganawa, and Y. Kokubun. 2004. Wide range center wavelength trimming of vertically coupled microring resonator filter by direct UV irradiation to SiN ring core. IEEE Photonics Technology Letters 16, 1 (2004), 135--137.
[46]
P. K. Hamedani, N. E. Jerger, and S. Hessabi. 2014. QuT: A low-power optical network-on-chip. In NOCS.
[47]
M. Haurylau, G. Chen, H. Chen, J. Zhang, N. A. Nelson, D. H. Albonesi, E. G. Friedman, and P. M. Fauchet. 2006. On-chip optical interconnect roadmap: Challenges and critical directions. IEEE Journal of Selected Topics in Quantum Electronics 12, 6 (2006), 1699--1705.
[48]
M. J. R. Heck and J. E. Bowers. 2014. Energy efficient and energy proportional optical interconnects for multi-core processors: Driving the need for on-chip sources. IEEE Journal of Selected Topics in Quantum Electronics 20, 4 (July 2014), 332--343.
[49]
G. Hendry, S. Kamil, A. Biberman, J. Chan, B. G. Lee, M. Mohiyuddin, A. Jain, K. Bergman, L. P. Carloni, J. Kubiatowicz, L. Oliker, and J. Shalf. 2009. Analysis of photonic networks for a chip multiprocessor using scientific applications. In 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[50]
J. S. Huang, H. Lu, and H. Su. 2008. Ultra-high power, low RIN and narrow linewidth lasers for 1550nm DWDM 100km long-haul fiber optic link. In 21st Annual Meeting of the IEEE Lasers and Electro-Optics Society, 2008 (LEOS’08). 894--895.
[51]
M. J. Humphrey. 1994. Calculation of Coupling between Tapered Fiber Modes and Whispering-Gallery Modes of a Spherical Microlaser. Ph.D. Dissertation. University of Maryland, College Park, Maryland.
[52]
K. Iga and H. E. Li. 2003. In Vertical-Cavity Surface-Emitting Laser Devices. Springer.
[53]
Intel. 2013. PCI Express Architecture. Retrieved from https://itpeernetwork.intel.com/fujitsu-lights-up-pci-express- with-intel-silicon-photonics/.
[54]
M. Izutsu, Y. Nakai, and T. Sueta. 1982. Operation mechanism of the single-mode optical-waveguide Y junction. Optics Letters 7, 3 (March 1982), 136--138.
[55]
A. Joshi, C. Batten, Y. J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. 2009. Silicon-photonic clos networks for global on-chip communication. In Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip. IEEE Computer Society, 124--133.
[56]
R. W. Morris Jr. and A. K. Kodi. 2010. Power-efficient and high-performance multi-level hybrid nanophotonic interconnect for multicores. In 2010 4th ACM/IEEE International Symposium on Networks-on-Chip (NOCS’10).
[57]
Y. H. Kao and H. J. Chao. 2011. BLOCON: A bufferless photonic clos network-on-chip architecture. In NOCS. IEEE.
[58]
P. Kapur and K. C. Saraswat. 2002. Comparisons between electrical and optical interconnects for on-chip signaling. In IITC. IEEE.
[59]
S. Killge, N. Neumann, D. Plettemeier, and J. W. Bartha. 2016. Optical Through-Silicon Vias. Springer International Publishing, Cham. 221--234 pages.
[60]
G. D. Kim, H. S. Lee, C. H. Park, S. S. Lee, B. T. Lim, H. K. Bae, and W. G. Lee. 2010. Silicon photonic temperature sensor employing a ring resonator manufactured using a standard CMOS process. Optics Express 18, 21 (Oct. 2010), 22215--22221.
[61]
J. Kim, J. Balfour, and W. Dally. 2007. Flattened butterfly topology for on-chip networks. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 172--182.
[62]
N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society.
[63]
N. Kirman and José F. Martínez. 2010. A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing. In ACM Sigplan Notices, Vol. 45. ACM, 15--28.
[64]
M. J. Kobrinsky. 2004. On-chip optical interconnects. Intel Technology Journal 8, 2 (2004), 129--142.
[65]
A. Kodi and R. Morris. 2009. Design of a scalable nanophotonic interconnect for future multicores. In ANCS. ACM, New York.
[66]
S. J. Koester, C. L. Schow, L. Schares, G. Dehlinger, J. D. Schaub, F. E. Doany, and R. A. John. 2007. Ge-on-SOI-detector/Si-CMOS-amplifier receivers for high-performance optical-communication applications. Journal of Lightwave Technology 25, 1 (2007), 46--57.
[67]
P. Koka, M. O. McCracken, H. Schwetman, C. O. Chen, X. Zheng, R. Ho, K. Raj, and A. V. Krishnamoorthy. 2012. A micro-architectural analysis of switched photonic multi-chip interconnects. In ACM SIGARCH Computer Architecture News, Vol. 40. IEEE Computer Society, 153--164.
[68]
P. Koka, M. O. McCracken, H. Schwetman, X. Zheng, R. Ho, and A. V. Krishnamoorthy. 2010. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. In ACM SIGARCH Computer Architecture News, Vol. 38. ACM, 117--128.
[69]
S. Koohi, M. Abdollahi, and S. Hessabi. 2011. All-optical wavelength-routed NOC based on a novel hierarchical topology. In NOCS. ACM, New York.
[70]
A. V. Krishnamoorthy. 2006. Photonics-to-electronics integration for optical interconnects in the early 21st century. Optoelectronics Letters 2, 3 (2006), 163--168.
[71]
A. V. Krishnamoorthy, R. Ho, X. Zheng, H. Schwetman, J. Lexau, P. Koka, G. Li, I. Shubin, and J. E. Cunningham. 2009. Computer systems based on silicon photonic interconnects. Proceedings of the IEEE 97, 7 (2009), 1337--1361.
[72]
A. V. Krishnamoorthy, X. Zheng, G. Li, J. Yao, T. Pinguet, A. Mekis, H. Thacker, I. Shubin, Y. Luo, K. Raj, and J. E. Cunningham. 2011. Exploiting CMOS manufacturing to reduce tuning requirements for resonant optical devices. IEEE Photonics Journal 3, 3 (June 2011), 567--579.
[73]
C. Kromer, G. Sialm, C. Berger, T. Morf, M. L. Schmatz, F. Ellinger, D. Erni, G. L. Bona, and H. Jackel. 2005. A 100-mW 4 times;10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects. IEEE Journal of Solid-State Circuits 40, 12 (Dec. 2005), 2667--2679.
[74]
G. Kurian, J. E. Miller, J. Psota, J. Eastep, J. Liu, J. Michel, L. C. Kimerling, and A. Agarwal. 2010. ATAC: A 1000-core cache-coherent processor with on-chip optical network. In PACT. ACM.
[75]
Ayar Labs. 2015. Ayar Labs. Retrieved from https://ayarlabs.com/.
[76]
S. Le Beux, H. Li, G. Nicolescu, J. Trajkovic, and I. O’Connor. 2014. Optical crossbars on chip, a comparative study based on worst-case losses. Concurrency and Computation: Practice and Experience 26, 15 (2014), 2492--2503.
[77]
S. Le Beux, J. Trajkovic, I. O’Connor, G. Nicolescu, G. Bois, and P. Paulin. 2011. Optical ring network-on-chip (ORNoC): Architecture and design methodology. In DATE. IEEE.
[78]
B. G. Lee, A. Biberman, J. Chan, and K. Bergman. 2010. High-performance modulators and switches for silicon photonic networks-on-chip. IEEE Journal of Selected Topics in Quantum Electronics 16, 1 (2010), 6--22.
[79]
J. S. Levy, Y. Okawachi, M. Lipson, A. L. Gaeta, and K. Saha. 2011. High-performance silicon-based multiple wavelength source. In CLEO. Optical Society of America.
[80]
C. Li, P. V. Gratz, and S. Palermo. 2015. Nano-photonic networks-on-chip for future chip multiprocessors. More Than Moore Technologies for Next Generation Computer Design (2015), 375--383.
[81]
Z. Li and T. Li. 2013. ESPN: A case for energy-star photonic on-chip network. In International Symposium on Low Power Electronics and Design (ISLPED’13).
[82]
L. Liao, D. Samara-Rubio, M. Morse, A. Liu, D. Hodge, D. Rubin, U. D. Keil, and T. Franck. 2005. High speed silicon Mach-Zehnder modulator. Optics Express 13, 8 (2005), 3129--3135.
[83]
Luxtera. 2015. Luxtera: Fibre to the chip. Retrieved from http://www.luxtera.com/.
[84]
J. R. Meyer, C. A. Hoffman, F. J. Bartoli, and L. R. Ram-Mohan. 1995. Type-II quantum-well lasers for the mid-wavelength infrared. Applied Physics Letters 67, 6 (1995), 757--759.
[85]
R. Michalzik and K. J. Ebeling. 2003. Operating principles of VCSELs. In Vertical-Cavity Surface-Emitting Laser Devices. 53--98.
[86]
D. A. B. Miller. 2009. Device requirements for optical interconnects to silicon chips. Proceedings of the IEEE 97, 7 (2009), 1166--1185.
[87]
Y. Miyamoto, M. Yoneyama, K. Hagimoto, T. Ishibashi, and N. Shimizu. 1998. 40 Gbit/s high sensitivity optical receiver with uni-travelling-carrier photodiode acting as decision IC driver. Electronics Letters 34, 2 (Jan. 1998), 214--215.
[88]
K. H. Mo, Y. Ye, X. Wu, W. Zhang, W. Liu, and J. Xu. 2010. A hierarchical hybrid optical-electronic network-on-chip. In ISVLSI. IEEE.
[89]
M. Mohamed, Z. Li, X. Chen, and A. Mickelson. 2014. HERMES: A hierarchical broadcast-based silicon photonic interconnect for scalable many-core systems. arXiv preprint arXiv:1401.4629 (2014).
[90]
R. Morris and A. K. Kodi. 2010. Exploring the design of 64-and 256-core power efficient nanophotonic interconnect. IEEE Journal of Selected Topics in Quantum Electronics 16, 5 (2010), 1386--1393.
[91]
R. Morris, A. K. Kodi, and A. Louri. 2012. Dynamic reconfiguration of 3D photonic networks-on-chip for maximizing performance and improving fault tolerance. In 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. 282--293.
[92]
Berkeley News. 2015. Engineers demo first processor that uses light for ultrafast communications. Retrieved from http://news.berkeley.edu/2015/12/23/electronic-photonic-microprocessor-chip/.
[93]
C. Nitta, M. Farrens, and V. Akella. 2011. Addressing system-level trimming issues in on-chip nanophotonic networks. In Proceedings of the IEEE 17th International Symposium on High Performance Computer Architecture (HPCA'11). IEEE, 122--131.
[94]
J. S. Orcutt, A. Khilo, C. W. Holzwarth, M. A. Popović, H. Li, J. Sun, T. Bonifield, R. Hollingsworth, F. X. Kärtner, H. I. Smith, I. Henry, and V. Stojanović. 2011. Nanophotonic integration in state-of-the-art CMOS foundries. Optics Express 19, 3 (2011), 2335--2346.
[95]
I. O'Connor, F. Mieyeville, F. Gaffiot, A. Scandurra, and G. Nicolescu. 2008. Reduction methods for adapting optical network on chip topologies to specific routing applications. In Proceedings of the 23rd Conference on Design of Circuits and Integrated Systems (DCIS) (2008), 12--14.
[96]
Y. Pan, J. Kim, and G. Memik. 2010. Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In HPCA. IEEE.
[97]
Y. Pan, J. Kim, and G. Memik. 2011. FeatherWeight: Low-cost optical arbitration with QoS support. In MICRO. ACM.
[98]
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. 2009. Firefly: Illuminating future network-on-chip with nanophotonics. In ACM SIGARCH Computer Architecture News, Vol. 37. ACM, 429--440.
[99]
M. S. Parekh, P. A. Thadesar, and M. S. Bakir. 2011. Electrical, optical and fluidic through-silicon vias for silicon interposer applications. In 2011 IEEE 61st Electronic Components and Technology Conference (ECTC’11). 1992--1998.
[100]
Z. Peng, D. Fattal, M. Fiorentino, and R. G. Beausoleil. 2010. Fabrication variations in SOI microrings for DWDM networks. In 2010 7th IEEE International Conference on Group IV Photonics (GFP’10). 120--122.
[101]
E. Peter, A. Arora, A. Bargaria, and S. R. Sarangi. 2015a. Optical overlay NUCA: A high speed substrate for shared L2 caches. In HiPC.
[102]
E. Peter, A. Arora, J. Bashir, A. Bagaria, and S. R. Sarangi. 2017a. Optical overlay NUCA: A high-speed substrate for shared l2 caches. ACM Journal on Emerging Technologies in Computing Systems (JETC) 13, 4 (2017), 53.
[103]
E. Peter, J. Bashir, and S. R. Sarangi. 2017b. POSTER: BigBus: A scalable optical interconnect. In 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT’17).
[104]
E. Peter and S. R. Sarangi. 2014. OptiKit: An open source kit for simulation of on-chip optical components. Technical Report. IIT Delhi.
[105]
E. Peter and S. R. Sarangi. 2015. Optimal power efficient photonic SWMR buses. In Proceedings of International Workshop on Exploiting Silicon Photonics for Energy-Efficient High Performance Computing. ACM, 8.
[106]
E. Peter, A. Thomas, A. Dhawan, and S. R. Sarangi. 2015b. ColdBus: A near-optimal power efficient optical bus. In HiPC.
[107]
E. Peter, A. Thomas, A. Dhawan, and S. R. Sarangi. 2016. Active microring based tunable optical power splitters. Optics Communications 359 (2016), 311--315.
[108]
M. Petracca, B. G. Lee, K. Bergman, and L. P. Carloni. 2008. Design exploration of optical interconnection networks for chip multiprocessors. In HOTI. IEEE.
[109]
Intel Silicon Photonics. 2014. Moving Data With Light. Retrieved from http://www.intel.in/content/www/in/en/architecture-and-technology/silicon-photonics/silicon-photonics-overview.html.
[110]
The Next Platform. 2016. Intel Leverages Chip Might To Etch Photonics Future. Retrieved from https://www.nextplatform.com/2016/08/17/intel-leverages-chip-might-etch-photonics-future/.
[111]
R. G. S. Plumb. 1989. Distributed feedback laser. US Patent 4,813,054.
[112]
B. M. A. Rahman, D. M. H. Leung, S. S. A. Obayya, and K. T. V. Grattan. 2008. Numerical analysis of bent waveguides: Bending loss, transmission loss, mode coupling, and polarization coupling. Applied Optics 47, 16 (June 2008), 2961--2970.
[113]
L. Ramini, P. Grani, S. Bartolini, and D. Bertozzi. 2013. Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis. In DATE. EDA Consortium.
[114]
IBM Research. 2014. Silicon Photonics. Retrieved from https://www.zurich.ibm.com/st/photonics/wdm.html.
[115]
MIT Technology Review. 2008. A Record-Breaking Optical Chip. Retrieved from https://www.technologyreview.com/s/410383/a-record-breaking-optical-chip/.
[116]
H. Saito, K. Nishi, I. Ogura, S. Sugou, and Y. Sugimoto. 1996. Room temperature lasing operation of a quantum dot vertical cavity surface emitting laser. Applied Physics Letters 69, 21 (1996), 3140--3142.
[117]
J. Schrauwen, D. V. Thourhout, and R. Baets. 2008. Trimming of silicon ring resonator by electron beam induced compaction and strain. Optics Express 16, 6 (2008), 3738--3743.
[118]
S. R. Selmic, T. M. Chou, J. Sih, J. B. Kirk, A. Mantle, J. K. Butler, D. Bour, and G. A. Evans. 2001. Design and characterization of 1.3-/spl mu/m AlGaInAs-InP multiple-quantum-well lasers. IEEE Journal of Selected Topics in Quantum Electronics 7, 2 (2001), 340--349.
[119]
S. K. Selvaraja, W. Bogaerts, P. Dumon, D. V. Thourhout, and R. Baets. 2010. Subnanometer linewidth uniformity in silicon nanophotonic waveguide devices using CMOS fabrication technology. IEEE Journal of Selected Topics in Quantum Electronics 16, 1 (2010), 316--324.
[120]
J. F. Seurin, G. Xu, V. Khalfin, A. Miglo, J. D. Wynn, P. Pradhan, C. L. Ghosh, and L. A. D’Asaro. 2009. Progress in high-power high-efficiency VCSEL arrays. In SPIE OPTO: Integrated Optoelectronic Devices.
[121]
A. Shacham, K. Bergman, and L. P. Carloni. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Transactions on Computers 57, 9 (2008), 1246--1260.
[122]
A. Shacham, B. G. Lee, A. Biberman, K. Bergman, and L. P. Carloni. 2007. Photonic NoC for DMA communications in chip multiprocessors. In HOTI. IEEE.
[123]
Md. A. I. Sikder, A. K. Kodi, M. Kennedy, S. Kaya, and A. Louri. 2015. OWN: Optical and wireless network-on-chip for kilo-core architectures. In 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects (HOTI’15). IEEE, 44--51.
[124]
K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan. 2004. Temperature-aware microarchitecture: Modeling and implementation. ACM Transactions on Architecture and Code Optimization (TACO) 1, 1 (2004), 94--125.
[125]
S. Somekh, E. Garmire, A. Yariv, H. L. Garvin, and R. G. Hunsperger. 1973. Channel optical waveguide directional couplers. Applied Physics Letters 22, 1 (1973), 46--47.
[126]
R. Soref and B. Bennett. 1987. Electrooptical effects in silicon. IEEE Journal of Quantum Electronics 23, 1 (1987), 123--129.
[127]
K. Strauss, X. Shen, and J. Torrellas. 2006. Flexible snooping: Adaptive forwarding and filtering of snoops in embedded-ring multiprocessors. In ACM SIGARCH Computer Architecture News, Vol. 34. IEEE Computer Society, 327--338.
[128]
C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, and B. R. Moss. 2015. Single-chip microprocessor that communicates directly using light. Nature 528, 7583 (2015), 534.
[129]
A. Syrbu, V. Iakovlev, A. Caliman, P. Royo, and E. Kapon. 2008. 10 Gbps VCSELs with high single mode output in 1310 nm and 1550 nm bands. In OFC. Optical Society of America.
[130]
X. Tan, M. Yang, L. Zhang, Y. Jiang, and J. Yang. 2011. On a scalable, non-blocking optical router for photonic networks-on-chip designs. In SOPO.
[131]
X. Tan, M. Yang, L. Zhang, X. Wang, and Y. Jiang. 2014. A hybrid optoelectronic networks-on-chip architecture. Journal of Lightwave Technology 32, 5 (2014), 991--998.
[132]
S. Tanahashi, K. Kaneko, and M. Terasawa. 1995. Photonic network architectures II: Wavelength arbitration and routing. In Proceedings of the 45th Electronic Components and Technology Conference, 1995. 189--193.
[133]
Extreme Tech. 2014. HP bets it all on The Machine, a new computer architecture based on memristors and silicon photonics. Retrieved from http://www.extremetech.com/extreme/184165-hp-bets-it-all-on-the-machine-a-new-computer- architecture-based-on-memristors-and-silicon-photonics/.
[134]
E. Timurdogan, C. M. Sorace-Agaskar, J. Sun, E. S. Hosseini, A. Biberman, and M. R. Watts. 2014. An ultralow power athermal silicon modulator. Nature Communications 5 (2014), 4008.
[135]
H. K. Tsang, C. S. Wong, T. K. Liang, I. E. Day, S. W. Roberts, A. Harpin, J. Drake, and M. Asghari. 2002. Optical dispersion, two-photon absorption and self-phase modulation in silicon waveguides at 1.5 μm wavelength. Applied Physics Letters 80, 3 (2002), 416--418.
[136]
S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar. 2008. An 80-tile sub-100-W teraFLOPS processor in 65-nm CMOS. IEEE Journal of Solid-State Circuits 43, 1 (Jan. 2008), 29--41.
[137]
D. Vantrease, N. Binkert, R. Schreiber, and M. H. Lipasti. 2009. Light speed arbitration and flow control for nanophotonic interconnects. In MICRO. IEEE.
[138]
D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In ISCA’08.
[139]
L. Vivien, F. Grillot, E. Cassan, D. Pascal, S. Lardenois, A. Lupu, S. Laval, M. Heitzmann, and J.-M. Fédéli. 2005. Comparison between strip and rib {SOI} microwaveguides for intra-chip light distribution. Optical Materials 27, 5 (2005), 756--762.
[140]
X. Wang, H. Gu, Y. Yang, K. Wang, and Q. Hao. 2015. RPNoC: A ring-based packet-switched optical network-on-chip. IEEE Photonics Technology Letters 27, 4 (Feb. 2015), 423--426.
[141]
S. Werner, J. Navaridas, and M. Luján. 2017. Designing low-power, low-latency networks-on-chip by optimally combining electrical and optical links. In 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA’17). IEEE, 265--276.
[142]
S. Werner, J. Navaridas, and M. Lujn. 2015. Amon: An advanced mesh-like optical NoC. In 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects. 52--59.
[143]
X. Wu, J. Xu, Y. Ye, Z. Wang, M. Nikdast, and X. Wang. 2014. SUOR: Sectioned undirectional optical ring for chip multiprocessor. Journal of Emerging Technologies in Computing Systems 10, 4, Article 29 (June 2014), 25 pages.
[144]
Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson. 2005. Micrometre-scale silicon electro-optic modulator. Nature 435, 7040 (2005), 325.
[145]
Y. Xu, Y. Du, Y. Zhang, and J. Yang. 2011. A composite and scalable cache coherence protocol for large scale CMPs. In Proceedings of the International Conference on Supercomputing (ICS’11). 285--294.
[146]
Y. Xu, J. Yang, and R. Melhem. 2012a. Channel borrowing: An energy-efficient nanophotonic crossbar architecture with light-weight arbitration. In ICS. ACM.
[147]
Y. Xu, J. Yang, and R. Melhem. 2012b. Tolerating process variations in nanophotonic on-chip networks. In ACM SIGARCH Computer Architecture News, Vol. 40. IEEE Computer Society, 142--152.
[148]
Y. Xu, J. Yang, and R. Melhem. 2015. BandArb: Mitigating the effects of thermal and process variations in silicon-photonic network. In Proceedings of the 12th ACM International Conference on Computing Frontiers. 30:1--30:8.
[149]
J. Xue, A. Garg, B. Ciftcioglu, J. Hu, S. Wang, I. Savidis, M. Jain, R. Berman, P. Liu, M. Huang, and H. Wu. 2010. An intra-chip free-space optical interconnect. In ACM SIGARCH Computer Architecture News, Vol. 38. ACM, 94--105.
[150]
H. Yajima. 1973. Dielectric thin-film optical branching waveguide. Applied Physics Letters 22, 12 (1973), 647--649.
[151]
Y. Ye, L. Duan, J. Xu, J. Ouyang, M. K. Hung, and Y. Xie. 2009. 3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC). In 3DIC.
[152]
Y. Ye, Z. Wang, P. Yang, J. Xu, X. Wu, X. Wang, M. Nikdast, Z. Wang, and L. H. K. Duong. 2014. System-level modeling and analysis of thermal effects in WDM-Based optical networks-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 33, 11 (2014), 1718--1731.
[153]
Y. Ye, J. Xu, B. Huang, X. Wu, W. Zhang, X. Wang, M. Nikdast, Z. Wang, W. Liu, and Z. Wang. 2013. 3-D mesh-based optical network-on-chip for multiprocessor system-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 4 (April 2013), 584--596.
[154]
Y. Ye, J. Xu, X. Wu, W. Zhang, W. Liu, and M. Nikdast. 2012. A torus-based hierarchical optical-electronic network-on-chip for multiprocessor system-on-chip. ACM Journal on Emerging Technologies in Computing Systems (JETC) 8, 1 (2012), 5.
[155]
Y. Ye, J. Xu, X. Wu, W. Zhang, X. Wang, M. Nikdast, Z. Wang, and W. Liu. 2011. Modeling and analysis of thermal effects in optical networks-on-chip. In ISVLSI. IEEE.
[156]
Y. Yin, R. Proietti, C. J. Nitta, V. Akella, C. Mineo, S. J. B. Yoo, and K. Wen. 2013. AWGR-based all-to-all optical interconnects using limited number of wavelengths. In 2013 IEEE Optical Interconnects Conference. IEEE, 47--48.
[157]
M. Yu, Y. Yang, Q. Fang, X. Tu, J. Song, K. J. Chui, Rusli, and G. Q. Lo. 2016. 3D electro-optical integration based on high-performance Si photonics TSV interposer. In 2016 Optical Fiber Communications Conference and Exhibition (OFC’16). 1--3. IEEE.
[158]
L. Zhou and A. K. Kodi. 2013. Probe: Prediction-based optical bandwidth scaling for energy-efficient NOCs. In 2013 7th IEEE/ACM International Symposium on Networks on Chip (NoCS’13). IEEE, 1--8.
[159]
L. Zhou, K. Okamoto, and S. J. B. Yoo. 2009. Athermalizing and trimming of slotted silicon microring resonators with UV-sensitive PMMA upper-cladding. IEEE Photonics Technology Letters 21, 17 (Sept. 2009), 1175--1177.
[160]
W. A. Zortman, D. C. Trotter, and M. R. Watts. 2010. Silicon photonics manufacturing. Optics Express 18, 23 (2010), 23598--23607.
[161]
A. Zulfiqar, P. Koka, H. Schwetman, M. Lipasti, X. Zheng, and A. Krishnamoorthy. 2013. Wavelength stealing: An opportunistic approach to channel sharing in multi-chip photonic interconnects. In MICRO. ACM.
[162]
D. Zydek, N. Shlayan, E. Regentova, and H. Selvaraj. 2008. Review of packet switching technologies for future NoC. In ICSENG. 306--311.

Cited By

View all
  • (2024)薄膜铌酸锂切趾啁啾光栅研究ACTA PHOTONICA SINICA10.3788/gzxb20245308.082300153:8(0823001)Online publication date: 2024
  • (2024)Reconfigurable Neuromorphic Neural Network ArchitectureApplied Computational Intelligence and Soft Computing10.1155/2024/66328012024Online publication date: 1-Jan-2024
  • (2024)Security of Electrical, Optical, and Wireless On-chip Interconnects: A SurveyACM Transactions on Design Automation of Electronic Systems10.1145/363111729:2(1-41)Online publication date: 14-Feb-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Computing Surveys
ACM Computing Surveys  Volume 51, Issue 6
November 2019
786 pages
ISSN:0360-0300
EISSN:1557-7341
DOI:10.1145/3303862
  • Editor:
  • Sartaj Sahni
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 28 January 2019
Accepted: 01 August 2018
Revised: 01 September 2017
Received: 01 December 2016
Published in CSUR Volume 51, Issue 6

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Photonic networks
  2. nano photonics
  3. on-chip communication

Qualifiers

  • Survey
  • Research
  • Refereed

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)292
  • Downloads (Last 6 weeks)41
Reflects downloads up to 10 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2024)薄膜铌酸锂切趾啁啾光栅研究ACTA PHOTONICA SINICA10.3788/gzxb20245308.082300153:8(0823001)Online publication date: 2024
  • (2024)Reconfigurable Neuromorphic Neural Network ArchitectureApplied Computational Intelligence and Soft Computing10.1155/2024/66328012024Online publication date: 1-Jan-2024
  • (2024)Security of Electrical, Optical, and Wireless On-chip Interconnects: A SurveyACM Transactions on Design Automation of Electronic Systems10.1145/363111729:2(1-41)Online publication date: 14-Feb-2024
  • (2024)Energy Efficient and High Bandwidth Quantum Dot Comb Laser based Silicon Microring Transmitter for Optical InterconnectsIEEE Journal of Selected Topics in Quantum Electronics10.1109/JSTQE.2024.3432313(1-11)Online publication date: 2024
  • (2024)Thermo-Attack Resiliency: Addressing a New Vulnerability in Opto-Electrical Network-on-Chips2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528773(1-9)Online publication date: 3-Apr-2024
  • (2024)Mode Switch and Modulator in Strip Loaded Few-Mode Waveguide Formed on Slab Thin-Film Lithium Niobate on Insulator2024 IEEE 16th International Conference on Advanced Infocomm Technology (ICAIT)10.1109/ICAIT62580.2024.10807896(354-359)Online publication date: 16-Aug-2024
  • (2024)The IEMI Effect: On the Efficacy of PCB-Level Countermeasures in Adversarial Environments2024 IEEE 9th European Symposium on Security and Privacy (EuroS&P)10.1109/EuroSP60621.2024.00027(361-380)Online publication date: 8-Jul-2024
  • (2024)Hybrid Interconnect Infrastructure for Inter-Chiplet Communication in Wafer-Scale Systems2024 IEEE 74th Electronic Components and Technology Conference (ECTC)10.1109/ECTC51529.2024.00379(2229-2236)Online publication date: 28-May-2024
  • (2024)Free-standing millimeter-range 3D waveguides for on-chip optical interconnectsScientific Reports10.1038/s41598-024-69522-014:1Online publication date: 14-Aug-2024
  • (2024)Towards Efficient On-Chip Communication: A Survey on Silicon Nanophotonics and Optical Networks-on-ChipJournal of Systems Architecture10.1016/j.sysarc.2024.103171152(103171)Online publication date: Jul-2024
  • Show More Cited By

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media