Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

BlackParrot: An Agile Open-Source RISC-V Multicore for Accelerator SoCs

Published: 01 July 2020 Publication History

Abstract

This article introduces BlackParrot, which aims to be the default open-source, Linux-capable, cache-coherent, 64-bit RISC-V multicore used by the world. In executing this goal, our research aims to advance the world's knowledge about the “software engineering of hardware.” Although originally bootstrapped by the University of Washington and Boston University via DARPA funding, BlackParrot strives to be community driven and infrastructure agnostic; a multicore which is Pareto optimal in terms of power, performance, area, and complexity. In order to ensure BlackParrot is easy to use, extend, and, most importantly, trust, development is guided by three core principles: Be Tiny, Be Modular, and Be Friendly. Development efforts have prioritized the use of intentional interfaces and modularity and silicon validation as first-order design metrics, so that users can quickly get started and trust that their design will perform as expected when deployed. BlackParrot has been validated in a GlobalFoundries 12-nm FinFET tapeout. BlackParrot is ideal as a standalone Linux processor or as a malleable fabric for an agile accelerator SoC design flow.

References

[2]
UW openroad free45 PDK reference flow. [Online]. Available: https://github.com/bsg-idea/uw_openroad_free45/
[3]
Ajayi, et al., “Toward an open-source digital flow: First learnings from the openroad project,” in Proc. 56th Annu. Design Autom. Conf., 2019, Art. no.
[4]
Asanovic, et al., “The rocket chip generator,” UC Berkeley EECS Tech. Rep.
[5]
Celio, et al., “The Berkeley out-of-order machine (boom): An industry-competitive, synthesizable, parameterized RISC-V processor,” Electr. Eng. Comput. Sci. Dept., Univ. California Berkeley, Tech. Rep.
[6]
J. Hauser, Berkeley HardFloat. [Online]. Available: http://www.jhauser.us/arithmetic/HardFloat.html
[7]
W. Snyder, “Verilator: Fast, free, but for me?” DVClub Presentation, 2010, p. 11.
[8]
M. Taylor, “Basejump STL: SystemVerilog needs a standard template library for hardware design,” in Proc. 55th Annu. Design Autom. Conf., 2018, Art. no.
[9]
A. Waterman, et al., “The RISC-V instruction set manual, volume i: Base user-level isa,” EECS Department, UC Berkeley, Tech. Rep. UCB/EECS-2011-62 116, 2011.
[10]
F. Zaruba and L. Benini, “The cost of application-class processing: Energy and performance analysis of a linux-ready 1.7-GHz 64-bit RISC-V core in 22-nm FDSOI technology,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 27, no. 11, pp. 2629–2640, Nov. 2019.

Cited By

View all
  • (2024)Scratchy: A Class of Adaptable Architectures with Software-Managed Communication for Edge Streaming ApplicationsDesign and Architectures for Signal and Image Processing10.1007/978-3-031-62874-0_6(68-79)Online publication date: 17-Jan-2024
  • (2023)Accelerator integration in a tile-based SoC: lessons learned with a hardware floating point compression engineProceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis10.1145/3624062.3624245(1662-1669)Online publication date: 12-Nov-2023
  • (2023)OpenPiton Optimizations Towards High Performance ManycoresProceedings of the 16th International Workshop on Network on Chip Architectures10.1145/3610396.3623265(27-33)Online publication date: 28-Oct-2023
  • Show More Cited By

Index Terms

  1. BlackParrot: An Agile Open-Source RISC-V Multicore for Accelerator SoCs
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image IEEE Micro
        IEEE Micro  Volume 40, Issue 4
        July-Aug. 2020
        121 pages

        Publisher

        IEEE Computer Society Press

        Washington, DC, United States

        Publication History

        Published: 01 July 2020

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 13 Sep 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Scratchy: A Class of Adaptable Architectures with Software-Managed Communication for Edge Streaming ApplicationsDesign and Architectures for Signal and Image Processing10.1007/978-3-031-62874-0_6(68-79)Online publication date: 17-Jan-2024
        • (2023)Accelerator integration in a tile-based SoC: lessons learned with a hardware floating point compression engineProceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis10.1145/3624062.3624245(1662-1669)Online publication date: 12-Nov-2023
        • (2023)OpenPiton Optimizations Towards High Performance ManycoresProceedings of the 16th International Workshop on Network on Chip Architectures10.1145/3610396.3623265(27-33)Online publication date: 28-Oct-2023
        • (2023)Boosting VLSI Design Flow Parameter Tuning with Random Embedding and Multi-objective Trust-region Bayesian OptimizationACM Transactions on Design Automation of Electronic Systems10.1145/359793128:5(1-23)Online publication date: 9-Sep-2023
        • (2023)Does SoC Hardware Development Become Agile by Saying So: A Literature Review and Mapping StudyACM Transactions on Embedded Computing Systems10.1145/357855422:3(1-27)Online publication date: 18-Apr-2023
        • (2023)SMAPPIC: Scalable Multi-FPGA Architecture Prototype Platform in the CloudProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 210.1145/3575693.3575753(733-746)Online publication date: 27-Jan-2023
        • (2023)RISE: RISC-V SoC for En/Decryption Acceleration on the Edge for Homomorphic EncryptionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.328875431:10(1523-1536)Online publication date: 17-Jul-2023
        • (2023)Development an efficient AXI-interconnect unit between set of customized peripheral devices and an implemented dual-core RISC-V processorThe Journal of Supercomputing10.1007/s11227-023-05304-179:15(17000-17019)Online publication date: 5-May-2023
        • (2022)RACE: RISC-V SoC for En/decryption Acceleration on the Edge for Homomorphic ComputationProceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design10.1145/3531437.3539725(1-6)Online publication date: 1-Aug-2022
        • (2022)ManyGUI: A Graphical Tool to Accelerate Many-core Debugging Through Communication, Memory, and Energy ProfilingSystem Engineering for constrained embedded systems10.1145/3522784.3522791(39-46)Online publication date: 17-Jan-2022
        • Show More Cited By

        View Options

        View options

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media