Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/NOCS.2010.13guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article
Free access

Improving the Performance of GALS-Based NoCs in the Presence of Process Variation

Published: 03 May 2010 Publication History

Abstract

Current integration scales allow designing chip multiprocessors (CMP) where cores are interconnected by means of a network-on-chip (NoC). Unfortunately, the small feature size of current integration scales cause some unpredictability in manufactured devices because of process variation. In NoCs,variability may affect links and routers causing that they do not match the parameters established at design time. In this paper we first analyze the way that manufacturing deviations affect the components of a NoC by applying a comprehensive and detailed variability model to 200 instances of an 8x8 mesh NoC synthesized using 45nm technology. A second contribution of this paper is showing that GALS-based NoCs present communication bottlenecks under process variation. To overcome this performance reduction we draft a novel approach, called performance domains, intended to reduce the negative impact of variability on application execution time. This mechanism is suitable when several applications are simultaneously running in the CMP chip.

References

[1]
A. Asenov et al., "Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations", IEEE TED 2002.
[2]
K. Bowman et al., "Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration" in IEEE JSSC 2002.
[3]
Yu Cao et al., "Mapping statistical process variations toward circuit performance variability: an analytical modeling approach", in DAC 2005.
[4]
G. Chen et al., "Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints", IEEE Trans. on VLSI, 2006.
[5]
P. Friedberg et al., "Modeling Within-Die Spatial Correlation Effects for Process-Design Co-Optimization", in ISQED, 2005.
[6]
C. Hernandez, F. Silla, and J. Duato "A Methodology for the Characterization of Process Variation in NoC Links", in DATE 2010.
[7]
E. Humenay, D. Tarjan and K. Skadron "Impact of process variations on multicore performance symmetry" in DATE '07.
[8]
Kenyon, C. et. al, "Managing Process Variation in Intel's 45nm CMOS Technology." Intel Technology Journal, January 2008.
[9]
S. Kottapalli et al., "Nehalem-EX CPU Architecture", Hot chips 21, August 2009.
[10]
R. Kumar et al, "Interconnections in multi-core architectures: Understanding mechanisms, overheads, and scaling", ISCA, June 2005.
[11]
D. Ludovici et al., "Assessing Fat-Tree Topologies for Regular Network-on-Chip Design under Nanoscale Technology Constraints", in DATE'09.
[12]
I. M. Panades et al., "Bi-Synchronous FIFO for Synchronous Circuit Communication Well Suited for Network-on-Chip in GALS Architectures", in NOCS '07.
[13]
M. Mondal et al. "Provisioning On-Chip Networks under Buffered RC Interconnect Delay Variations", ISQED '07.
[14]
C. Nicopoulos et al., "On the Effects of Process Variation in Network-on-Chip Architectures" IEEE TDSC 2008.
[15]
A. Pullini et al, "NoC Design and Implementation in 65nm Technology", NOCS '07.
[16]
U. Ogras et al., "Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip", Design Automation Conference, 2007.
[17]
M. Orshansky et. al "Impact of Spatial Intrachip Gate Length Variability on the Performance of High-Speed Digital Circuits", in TCAD 2002.
[18]
J.D. Owens et al., "Research challenges for on-chip interconnection networks", IEEE Micro, 2007.
[19]
S. Rodrigo, J. Flich, J. Duato and M. Hummel, "Efficient Unicast and Multicast Support for CMPs", in MICRO 2008.
[20]
S. R. Sarangi et. al, "VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects", IEEE TSM, 2008.
[21]
E. S. Shin et al. "Round-robin Arbiter Design and Generation," in ISSS, Oct. 2002, pp. 2-4.
[22]
B. Stefano et al. "Process variation tolerant pipeline design through a placement-aware multiple voltage island design style", DATE '08.
[23]
"BSIM4 6.4 MOSFET manual", available onlie at "www-device.eecs.berkeley.edu/bsim3/BSIM4//BSIM464/BSIM464 Manual1.pdf".
[24]
"International Technology Roadmap for Semiconductors", 2007 Edition, available online at http://www.itrs.net/Links/2007ITRS/Home2007.htm.
[25]
"The Nangate Open Cell Library", 45nm FreePDK, available online at https://www.si2.org/openeda.si2.org/projects/nangatelib/.
[26]
"Predictive Technology Model", available online at http://www.eas.asu.edu/ptm.
[27]
"R: A language and environment for statistical computing. R Foundation for Statistical Computing", URL http://www.R-project.org.
[28]
"TILE-Gx Processors Family", available at http://www.tilera.com/

Cited By

View all
  • (2016)Delay/Power Modeling and Optimization of FinFET Circuit Modules under PVT VariationsACM Journal on Emerging Technologies in Computing Systems10.1145/279523112:4(1-21)Online publication date: 8-Mar-2016
  • (2015)High Throughput Asynchronous NoC Design under High Process VariationIntegration, the VLSI Journal10.1016/j.vlsi.2014.10.00649:C(1-13)Online publication date: 1-Mar-2015
  • (2011)Self-Calibrating Source Synchronous Communication for Delay Variation Tolerant GALS Network-on-Chip DesignInternational Journal of Embedded and Real-Time Communication Systems10.4018/jertcs.20111001012:4(1-20)Online publication date: 1-Oct-2011

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Guide Proceedings
NOCS '10: Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip
May 2010
255 pages
ISBN:9780769540535

Publisher

IEEE Computer Society

United States

Publication History

Published: 03 May 2010

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)8
  • Downloads (Last 6 weeks)2
Reflects downloads up to 01 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2016)Delay/Power Modeling and Optimization of FinFET Circuit Modules under PVT VariationsACM Journal on Emerging Technologies in Computing Systems10.1145/279523112:4(1-21)Online publication date: 8-Mar-2016
  • (2015)High Throughput Asynchronous NoC Design under High Process VariationIntegration, the VLSI Journal10.1016/j.vlsi.2014.10.00649:C(1-13)Online publication date: 1-Mar-2015
  • (2011)Self-Calibrating Source Synchronous Communication for Delay Variation Tolerant GALS Network-on-Chip DesignInternational Journal of Embedded and Real-Time Communication Systems10.4018/jertcs.20111001012:4(1-20)Online publication date: 1-Oct-2011

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media