Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Low-Overhead Fault-Tolerance Technique for a Dynamically Reconfigurable Softcore Processor

Published: 01 June 2013 Publication History
  • Get Citation Alerts
  • Abstract

    In this paper, we propose a new approach to implement a reliable softcore processor on SRAM-based FPGAs, which can mitigate radiation-induced temporary faults (single-event upsets (SEUs)) at moderate cost. A new Enhanced Lockstep scheme built using a pair of MicroBlaze cores is proposed and implemented on Xilinx Virtex-5 FPGA. Unlike the basic lockstep scheme, ours allows to detect and eliminate its internal temporary configuration upsets without interrupting normal functioning. Faults are detected and eliminated using a Configuration Engine built on the basis of the PicoBlaze core which, to avoid a single point of failure, is implemented as fault-tolerant using triple modular redundancy (TMR). A softcore processor can recover from configuration upsets through partial reconfiguration combined with roll-forward recovery. SEUs affecting logic which are significantly less likely than those affecting configuration are handled by checkpointing and rollback. Finally, to handle permanent faults, the tiling technique is also proposed. The new Enhanced Lockstep scheme requires significantly shorter error recovery time compared to conventional lockstep scheme and uses significantly smaller number of slices compared to known TMR-based design (although at the cost of longer error recovery time). The efficiency of the proposed approach was validated through fault injection experiments.

    Cited By

    View all
    • (2023)A Hardware Backup Dual-Core Lockstep for Error Checking and RecoveryProceedings of the 2023 7th International Conference on Electronic Information Technology and Computer Engineering10.1145/3650400.3650628(1357-1363)Online publication date: 20-Oct-2023
    • (2023)Criticality-Aware Negotiation-Driven Scrubbing Scheduling for Reliability Maximization in SRAM-Based FPGAsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.325771042:11(3881-3894)Online publication date: 1-Nov-2023
    • (2019)Towards a Heterogeneous Fault-Tolerance Architecture based on Arm and RISC-V ProcessorsIECON 2019 - 45th Annual Conference of the IEEE Industrial Electronics Society10.1109/IECON.2019.8926844(3112-3117)Online publication date: 14-Oct-2019
    • Show More Cited By

    Index Terms

    1. Low-Overhead Fault-Tolerance Technique for a Dynamically Reconfigurable Softcore Processor
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image IEEE Transactions on Computers
          IEEE Transactions on Computers  Volume 62, Issue 6
          June 2013
          218 pages

          Publisher

          IEEE Computer Society

          United States

          Publication History

          Published: 01 June 2013

          Author Tags

          1. Context
          2. Error recovery
          3. FPGA
          4. Fault tolerance
          5. Fault tolerant systems
          6. Field programmable gate arrays
          7. Hardware
          8. Random access memory
          9. Tunneling magnetoresistance
          10. fault injection
          11. fault-tolerance
          12. lockstep
          13. reconfigurable system
          14. single-event upset (SEU)
          15. softcore processor

          Qualifiers

          • Research-article

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)0
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 27 Jul 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2023)A Hardware Backup Dual-Core Lockstep for Error Checking and RecoveryProceedings of the 2023 7th International Conference on Electronic Information Technology and Computer Engineering10.1145/3650400.3650628(1357-1363)Online publication date: 20-Oct-2023
          • (2023)Criticality-Aware Negotiation-Driven Scrubbing Scheduling for Reliability Maximization in SRAM-Based FPGAsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.325771042:11(3881-3894)Online publication date: 1-Nov-2023
          • (2019)Towards a Heterogeneous Fault-Tolerance Architecture based on Arm and RISC-V ProcessorsIECON 2019 - 45th Annual Conference of the IEEE Industrial Electronics Society10.1109/IECON.2019.8926844(3112-3117)Online publication date: 14-Oct-2019
          • (2017)Analyzing lockstep dual-core ARM cortex-A9 soft error mitigation in freeRTOS applicationsProceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands10.1145/3109984.3110008(84-89)Online publication date: 28-Aug-2017
          • (2017)A novel BRAM content accessing and processing method based on FPGA configuration bitstreamMicroprocessors & Microsystems10.1016/j.micpro.2017.01.00949:C(64-76)Online publication date: 1-Mar-2017
          • (2015)RapidSmith 2Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/2684746.2689085(66-69)Online publication date: 22-Feb-2015

          View Options

          View options

          Get Access

          Login options

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media