Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Flip-flop energy/performance versus clock slope and impact on the clock network design

Published: 01 June 2010 Publication History

Abstract

In this paper, the influence of the clock slope on the speed of various classes of flip-flops (FFs) and on the overall energy dissipation of both FFs and clock domain buffers is analyzed. Analysis shows that an optimum clock slope exists, which minimizes the energy spent in a clock domain. Results show that the clock slope requirement can be relaxed with respect to traditional assumptions, leading up to 30 ÷ 40 % energy savings and at a very small speed performance penalty. The effectiveness of the clock slope optimization is discussed in detail for the existing classes of FFs. The impact of such an optimization in terms of additive skew and jitter contributions is discussed, together to the analysis of the impact of technology scaling. Extensive post-layout simulations on a 65-nm CMOS technology are performed to check the validity of the underlying assumptions and approximations.

References

[1]
P. Gronowski. W. Bowhill, R. Preston, M. Gowan, and R. Allmon, "High-performance microprocessor design," IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 676-686, May 1998.
[2]
D. Bailey and B. Benschneider, "Clocking design and analysis for a 600-MHz alpha microprocessor," IEEE J. Solid-State Circuits, vol. 33, no. 11, pp. 1627-1633, Nov. 1998.
[3]
N. Nedovic and V. Oklobdzija, "Dual-edge triggered storage elements and clocking strategy for low-power systems," IEEE Trans. VLSI Syst., vol. 13, no. 5, pp. 577-590, May 2005.
[4]
A. Cantoni, J. Walker, and T. Tomlin, "Characterization of a flip-flop metastability measurement method," IEEE Trans. Circuits and Syst. I, vol. 54, no. 5, pp. 1032-1040, May 2007.
[5]
T. Lang, E. Musoll, and J. Cortadella, "Individual flip-flops with gated clocks for low power datapaths," IEEE Trans. Circuits and Syst. II, vol. 44, no. 6, pp. 507-516, Jun. 1997.
[6]
P. Ramanathan, A. Dupont, and K. Shin, "Clock distribution in general VLSI circuits," IEEE Trans. Circuits and Syst. I, vol. 41, no. 5, pp. 395-404, May 1994.
[7]
H. Partovi, "Clocked Storage Elements," in Design of High-Performance Microprocessor Circuits. New York: IEEE Press, 2001, pp. 207-234.
[8]
S. Ganguly, D. Lehther, and S. Pullela, "Clock distribution methodology for PowerPC™ microprocessors," J. VLSI-SP, vol. 16, no. 2/3, pp. 181-189, Jun./Jul. 1997.
[9]
J. Pangjun and S. Sapatnekar, "Clock distribution using multiple voltages," in Proc. ISLPED, Aug. 1999, pp. 145-150.
[10]
D. Harris, Skew-Tolerant Circuit Design. San Diego, CA: Morgan Kaufmann, 2000.
[11]
P. Larsson and C. Svensson, "Impact of clock slope on true single phase clocked (TSPC) CMOS circuits," IEEE J. Solid-State Circuits, vol. 29, no. 6, pp. 723-726, Jun. 1994.
[12]
A. Mehta, Y. Chen, N. Menezes, D. Wong, and L. Pileggi, "Clustering and load balancing for buffered clock tree synthesis," in Proc. ICCD, Oct. 1997, pp. 217-223.
[13]
A. Vittal and M. Marek-Sadowska, "Power optimal buffered clock tree design," in Proc. DAC, Jun. 1995, pp. 497-502.
[14]
V. Oklobdzija, "Clocking and clocked storage elements in a multi-gigahertz environment," IBM J. Res. Develop., vol. 47, no. 5/6, pp. 567-583, Sep./Nov. 2003.
[15]
C. Giacomotto, N. Nedovic, and V. Oklobdzija, "The effect of the system specification on the optimal selection of clocked storage elements," IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1392-1404, Jun. 2007.
[16]
V. Oklobdzija, V. Stojanovic, D. Markovic, and N. Nedovic, Digital System Clocking: High-Performance and Low-Power Aspects. New York: Wiley-IEEE Press, 2003.
[17]
B. Mesgarzadeh, M. Hansson, and A. Alvandpour, "Jitter characteristic in charge recovery resonant clock distribution," IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1618-1625, Jul. 2007.
[18]
I. Sutherland, B. Sproull, and D. Harris, Logical Effort: Designing Fast CMOS Circuits. San Diego, CA: Morgan Kaufmann, 1998.
[19]
V. Stojanovic and V. Oklobdzija, "Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems," IEEE J. Solid-State Circuits, vol. 34, no. 4, pp. 536-548, Apr. 1999.
[20]
J. Monteiro, S. Devadas, A. Ghosh, K. Keutzer, and J. White, "Estimation of average switching activity in combinational logic circuits using symbolic simulation," IEEE Trans. Comput.-Aided Des., vol. 16, no. 1, pp. 121-127, Jan. 1997.
[21]
V. Oklobdzija and R. K. Krishnamurthy, High-Performance Energy-Efficient Microprocessor Design. New York: Springer, 2006.
[22]
D. Markovic, B. Nikolic, and R. Brodersen, "Analysis and design of low-energy flip-flops," in Proc. ISPLED, Aug. 2001, pp. 52-55.
[23]
D. Markovic, J. Tschanz, and V. De, "Transmission-gate based flip-flop," U.S. patent 6,642,765, Nov. 4, 2003.
[24]
H. Partovi, R. Burd, U. Salim, F. Weber, L. DiGregorio, and D. Draper, "Flow-through latch and edge-triggered flip-flop hybrid elements," in IEEE ISSCC Dig., Feb. 1996, pp. 138-139.
[25]
R. Heald et al., "A third generation SPARC V9 64-b microprocessor," IEEE J. Solid-State Circuits, vol. 35, no. 11, pp. 1526-1538, Nov. 2000.
[26]
N. Nedovic, M. Aleksic, and V. Oklobdzija, "Conditional techniques for low power consumption flip-flops," in Proc. ICECS, Feb./May 2001, vol. 2, pp. 803-806.
[27]
P. Zhao, T. Darwish, and M. Bayoumi, "Low power and high speed explicit-pulsed flip-flops," in Proc. MSCS, Aug. 2002, pp. 477-480.
[28]
S. Naffziger, G. Colon-Bonet, T. Fischer, R. Riedlinger, T. Sullivan, and T. Grutkowski, "The implementation of the itanium 2 microprocessor," IEEE J. Solid-State Circuits, vol. 37, no. II, pp. 1448-1460, Nov. 2002.
[29]
B. Nikolic, V. Stojanovic, V. Oklobdzija, W. Jia, J. Chiu, and M. Leung, "Improved sense-amplifier-based flip-flop: Design and measurements," IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 876-884, Jun. 2000.
[30]
N. Nedovic, V. Oklobdzija, and W. Walker, "A clock skew absorbing flip-flop," in IEEE ISSCC Dig., Feb. 2003, pp. 342-344.
[31]
B. Kong, S. Kim, and Y. Jun, "Conditional-capture flip-flop for statistical power reduction," IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1263-1271, Aug. 2001.
[32]
R. Llopis and M. Sachdev, "Low power, testable dual edge triggered flip-flops," in Proc. ISLPED, Aug. 1996, pp. 341-345.
[33]
N. Nedovic, W. Walker, V. Oklobdzija, and M. Aleksic, "A low power simmetrically pulsed dual edge-triggered flip-flop," in Proc. ESSCC, Sep. 2002, pp. 399-402.
[34]
J. Tschanz, S. Narendra, Z. Chen, S. Borkar, M. Sachdev, and V. De, "Comparative delay and energy of single edge-triggered and dual edge-triggered pulsed flip-flops for high-performance microprocessors," in Proc. ISLPED, Aug. 2001, pp. 147-152.
[35]
P. Zhao, T. Darwish, and M. Bayoumi, "High-performance and low-power conditional discharge flip-flop," IEEE Trans. VLSI Syst., vol. 12, no. 5, pp. 477-484, May 2004.
[36]
M. Alioto, E. Consoli, and G. Palumbo, "General strategies to design nanometer flip-flops in the energy-delay space," IEEE Trans. Circuits and Syst. I, accepted for publication.
[37]
J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Design Perspective, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 2003.
[38]
N. Weste and D. Harris, CMOS VLSI Design: A Circuits and System Perspective, 3rd ed. Boston, MA: Addison Wesley, 2004.
[39]
D. Harris and S. Naffziger, "Statistical clock skew modeling with data delay variations," IEEE Trans. VLSI Syst., vol. 9, no. 6, pp. 888-898, Dec. 2001.
[40]
M. Alioto and G. Palumbo, "Impact of supply voltage variations on full adder delay: Analysis and comparison," IEEE Trans. VLSI Syst., vol. 14, no. 12, pp. 1322-1335, Dec. 2006.
[41]
M. Orshansky, S. Nassif, and D. Boning, Design for Manufacturability and Statistical Design. New York: Springer, 2008.
[42]
M. Alioto, G. Palumbo, and M. Pennisi, "Understanding the effect of process variations on the delay of static and domino logic," IEEE Trans. VLSI Syst., accepted for publication.
[43]
B. Wong, A. Mittal, Y. Cao, and G. Starr, Nano-CMOS Circuit and Physical Design. New York: Wiley Interscience, 2005.
[44]
T. Kuroda and T. Sakurai, "Overview of low-power ULSI circuit techniques," IEICE TE, vol. E78-C, no. 4, pp. 334-344, Apr. 1995.
[45]
B. Chatterjee, M. Sachdev, S. Hsu, R. Krishnamurthy, and S. Borkar, "Effectiveness and scaling trends of leakage control techniques for sub-130 nm CMOS technologies," in Proc. ISLPED, Aug. 2003, pp. 122-127.
[46]
International Technology Roadmap for Semiconductors, 2005 {Online}. Available: http://www.itrs.net
[47]
R. Ho, K. W. Mai, and M. A. Horowitz, "The future of wires," Proc. IEEE, vol. 89, no. 4, pp. 490-504, Apr. 2001.
[48]
Berkeley Predictive Technology Models (BPTM), 2008 {Online}. Available: http://www.eas.asu.edu/-ptm/

Cited By

View all
  • (2018)A novel design for ultra-low power pulse-triggered D-Flip-Flop with optimized leakage powerIntegration, the VLSI Journal10.1016/j.vlsi.2017.09.00260:C(160-166)Online publication date: 1-Jan-2018
  • (2016)Clock gating methodologies and toolsInternational Journal of Circuit Theory and Applications10.1002/cta.210744:4(798-816)Online publication date: 1-Apr-2016
  • (2012)Buried silicon-Germanium pMOSFETsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.215987020:8(1487-1495)Online publication date: 1-Aug-2012
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Circuits and Systems Part I: Regular Papers
IEEE Transactions on Circuits and Systems Part I: Regular Papers  Volume 57, Issue 6
June 2010
292 pages

Publisher

IEEE Press

Publication History

Published: 01 June 2010
Accepted: 20 July 2009
Revised: 19 May 2009
Received: 15 January 2009

Author Tags

  1. VLSI
  2. clock domain
  3. clock slope
  4. clocking
  5. energy consumption
  6. flip-flops
  7. high-speed
  8. low power
  9. skew

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2018)A novel design for ultra-low power pulse-triggered D-Flip-Flop with optimized leakage powerIntegration, the VLSI Journal10.1016/j.vlsi.2017.09.00260:C(160-166)Online publication date: 1-Jan-2018
  • (2016)Clock gating methodologies and toolsInternational Journal of Circuit Theory and Applications10.1002/cta.210744:4(798-816)Online publication date: 1-Apr-2016
  • (2012)Buried silicon-Germanium pMOSFETsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.215987020:8(1487-1495)Online publication date: 1-Aug-2012
  • (2011)Ring oscillators for functional and delay test of latches and flip-flopsProceedings of the 24th symposium on Integrated circuits and systems design10.1145/2020876.2020893(67-72)Online publication date: 30-Aug-2011
  • (2010)Impact of process variations on pulsed flip-flopsProceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation10.5555/1950238.1950261(180-189)Online publication date: 7-Sep-2010
  • (2010)Physical design aware comparison of flip-flops for high-speed energy-efficient VLSI circuitsProceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation10.5555/1950238.1950247(62-72)Online publication date: 7-Sep-2010

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media