Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Clock gating methodologies and tools: a survey

Published: 01 April 2016 Publication History
  • Get Citation Alerts
  • Abstract

    Clock gating CG is a widely used design method for reducing the dynamic power consumption in digital circuits. Although it is a mature technique, theoretical work and tools for its application are still evolving and considered a matter of ongoing research, due to its significant effect in the overall power of the designs under study. This paper introduces a detailed review of the spectrum of CG approaches, theoretical and practical, from an architectural and register transfer level to synthesis, place and route, and testing issues. Furthermore, tools availability, limitations, and requirements concerning CG are examined for each design flow step. Conclusively, an evaluation of the presented techniques and literature is provided, estimating their usefulness and identifying areas for future research, exploration, and automation. Copyright © 2015 John Wiley & Sons, Ltd.

    References

    [1]
    Rabaey JM, Pedram M. Low Power Design Methodologies. Kluwer Academic Publishers: Boston, 1996.
    [2]
    Piguet C. Low-power CMOS Circuits Technology, Logic Design and CAD Tools. CRC/Taylor & Francis: Boca Raton, FL, 2006.
    [3]
    Piguet C. Low-power and low-voltage CMOS digital design. Proceedings of the first session on Low-power, low-voltage integrated circuits: technology and design: technology and design, Amsterdam, The Netherlands, Elsevier Science Publishers B. V. 1997; 179</pageLast>-<pageLast>208.
    [4]
    Benini L, Siegel P, De Micheli G. Saving power by synthesizing gated clocks for sequential circuits. IEEE Design Test of Computers 1994; Volume 11 Issue 4: pp.32-41.
    [5]
    Yuan J, Svensson C. New single-clock CMOS latches and flipflops with improved speed and power savings. IEEE Journal of Solid-State Circuits 1997; Volume 32 Issue 1: pp.62-69.
    [6]
    Nikolic B, Stojanovic V, Oklobdzija VG, Jia W, Chiu J, Leung M. Sense amplifier-based flip-flop. Solid-State Circuits Conference, 1999. Digest of Technical Papers. ISSCC. 1999 IEEE International, 1999; pp.282-283.
    [7]
    Strollo AGM, Napoli E, De Caro D. New clock-gating techniques for low-power flip-flops. Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000. ISLPED '00, 2000; pp.114-119.
    [8]
    Alioto M, Consoli E, Palumbo G. Analysis and comparison in the energy-delay-area domain of nanometer CMOS flip-flops: Part I: methodology and design strategies. IEEE Transactions on Very Large Scale Integration VLSI Systems 2011; Volume 19 Issue 5: pp.725-736.
    [9]
    Alioto M, Consoli E, Palumbo G. Analysis and comparison in the energy-delay-area domain of nanometer CMOS flip-flops: Part II: results and figures of merit. IEEE Transactions on Very Large Scale Integration VLSI Systems 2011; Volume 19 Issue 5: pp.737-750.
    [10]
    Shen W, Cai Y, Hong X, Hu J. An effective gated clock tree design based on activity and register aware placement. IEEE Transactions on Very Large Scale Integration VLSI Systems 2010; Volume 18 Issue 12: pp.1639-1648.
    [11]
    Chang C-M, Huang S-H, Ho Y-K, Lin J-Z, Wang H-P, Lu Y-S. Type-matching clock tree for zero skew clock gating. 45th ACM/IEEE Design Automation Conference, 2008. DAC 2008, 2008; pp.714-719.
    [12]
    Srinivas J, Rao M, Jairam S, Udayakumar H, Rao J. Clock gating effectiveness metrics: applications to power optimization. Quality of Electronic Design, 2009. ISQED 2009. Quality Electronic Design, 2009; pp.482-487.
    [13]
    Srinivas J, Jairam S. Clock gating approaches by IOEX graphs and cluster efficiency plots. Design, Automation Test in Europe Conference ExhibitionDATE, 2010, 2010; pp.638-641.
    [14]
    Kathuria J, Ayoubkhan M, Noor A. A review of clock gating techniques. MIT International Journal of Electronics and Communication Engineering 2011; Volume 1 Issue 2: pp.106-114.
    [15]
    Markovic DM. Analysis and design of low energy clocked storage elements. Master's thesis, Univeristy of California, Berkeley, the Netherlands, 2000.
    [16]
    Nogawa M, Ohtomo Y. A data-transition look-ahead DFF circuit for statistical reduction in power consumption. IEEE Journal of Solid-State Circuits 1998; Volume 33 Issue 5: pp.702-706.
    [17]
    Oklobdzija VG, John Wiley & Sons. Digital System Clocking High Performance and Low-power Aspects. IEEE; Wiley-Interscience: New York; Hoboken, N.J., 2003.
    [18]
    Schoenmakers PJ, Frans J, Theeuwen M. Clock gating on RT-level VHDL. Proc. of Inter. Workshop on logic synthesis, Tahoe City, 1998; pp.387-391.
    [19]
    Chen J, Wei X, Jiang Y, Zhou Q. Improve clock gating through power-optimal enable function selection. In 12th International Symposium on Design and Diagnostics of Electronic Circuits Systems, 2009. DDECS '09, 2009; pp.30-33.
    [20]
    Nikolic M, Katona M. Improve the automatic clock gating insertion in ASIC synthesis process using optimal enable function selection. 5th European Conference on Circuits and Systems for CommunicationsECCSC, 2010; pp.131-134.
    [21]
    Han I, Shin Y. Synthesis of clock gating logic through factored form matching. IEEE International Conference on IC Design TechnologyICICDT, 2012; pp.1-4.
    [22]
    Fraer R, Kamhi G, Mhameed MK. A new paradigm for synthesis and propagation of clock gating conditions. In 45th ACM/IEEE Design Automation Conference, 2008. DAC 2008, 2008; pp.658-663.
    [23]
    Radfar M, Shah K, Singh J. A highly sensitive and ultra low-power forward body biasing circuit to overcome severe process, voltage and temperature variations and extreme voltage scaling. International Journal of Circuit Theory and Applications 2015; Volume 43 Issue 2: pp.233-252.
    [24]
    IEEE SA - UPF: standard for design and verification of low power integrated circuits.
    [25]
    Si2: CPF 2.0, CPF 1.1 and CPF 1.0 specifications.
    [26]
    Hamada M, Terazawa T, Higashi T, Kitabayashi S, Mita S, Watanabe Y, Ashino M, Hara H, Kuroda T. Flip-flop selection technique for power-delay trade-off {video codec}. Solid-State Circuits Conference, 1999. Digest of Technical Papers. ISSCC. 1999 IEEE International, 1999; pp.270-271.
    [27]
    Razmdideh R, Saneei M. A novel low power and high speed double edge explicit pulse triggered level converter flip-flop. International Journal of Circuit Theory and Applications 2015; Volume 43 Issue 4: pp.516-523.
    [28]
    Alioto M, Palumbo G. Power-delay optimization of d-latch/MUX source coupled logic gates. International Journal of Circuit Theory and Applications 2005; Volume 33 Issue 1: pp.65-86.
    [29]
    Stojanovic V, Oklobdzija VG. Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems. IEEE Journal of Solid-State Circuits 1999; Volume 34 Issue 4: pp.536-548.
    [30]
    Xiaohai Q, Hongyi C. Discussion on the low-power CMOS latches and flip-flops. 5th International Conference on Solid-State and Integrated Circuit Technology, 1998. Proceedings, 1998; pp.477-480.
    [31]
    Min K-S, Choi H-D, Choi H-Y, Kawaguchi H, Sakurai T. Leakage-suppressed clock-gating circuit with zigzag super cut-off CMOS ZSCCMOS for leakage-dominant sub-70-nm and sub-1-v-v/sub DD/ LSIs. IEEE Transactions on Very Large Scale Integration VLSI Systems 2006; Volume 14 Issue 4: pp.430-435.
    [32]
    NanGate FreePDK45 open cell library.
    [33]
    Oh J, Pedram M. Gated clock routing for low-power microprocessor design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2001; Volume 20 Issue 6: pp.715-722.
    [34]
    Donno M, Ivaldi A, Benini L, Macii E. Clock-tree power optimization based on RTL clock-gating. Design Automation Conference, 2003. Proceedings, 2003; pp.622-627.
    [35]
    Shen W, Cai Y, Hong X, Hu J. Gate planning during placement for gated clock network. IEEE International Conference on Computer Design, 2008. ICCD 2008, 2008; pp.128-133.
    [36]
    Alioto M, Consoli E, Palumbo G. Flip-flop Energy/Performance versus clock slope and impact on the clock network design. IEEE Transactions on Circuits and Systems I: Regular Papers 2010; Volume 57 Issue 6: pp.1273-1286.
    [37]
    Lu J, Chow W-K, Sham C-W. Fast power- and slew-aware gated clock tree synthesis. IEEE Transactions on Very Large Scale Integration VLSI Systems 2012; Volume 20 Issue 11: pp.2094-2103.
    [38]
    Favalli M, Benini L, De Micheli G. Design for testability of gated-clock FSMs. European Design and Test Conference, 1996. ED TC 96. Proceedings, 1996; pp.589-596.
    [39]
    Nourani M, Carletta J, Papachristou C. Synthesis-for-testability of controller-data path pairs that use gated clocks. 2000.
    [40]
    Girard P, Nicolici N, Wen X. Power-aware Testing and Test Strategies for Low Power Devices. Springer: New York, 2010.
    [41]
    Ravi S. Power-aware test: challenges and solutions. Test Conference, 2007. ITC 2007. IEEE International, 2007; pp.1-10.
    [42]
    Li Y-H, Lien W-C, Lin I-C, Lee K-J. Capture-power-safe test pattern determination for at-speed scan-based testing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2014; Volume 33 Issue 1: pp.127-138.
    [43]
    Wen X, Yamashita Y, Morishima S, Kajihara S, Wang L-T, Saluja KK, Kinoshita K. Low-capture-power test generation for scan-based at-speed testing. Test Conference, 2005. Proceedings. ITC 2005. IEEE International, 2005; pp.10-1028.
    [44]
    Bahar RI, Manne S. Power and energy reduction via pipeline balancing. 28th Annual International Symposium on Computer Architecture, 2001. Proceedings, 2001; pp.218-229.
    [45]
    Chang X, Zhang M, Zhang G, Zhang Z, Wang J. Adaptive clock gating technique for low power IP core in SoC design. IEEE International Symposium on Circuits and Systems, 2007. ISCAS 2007, 2007; pp.2120-2123.
    [46]
    Li H, Bhunia S, Chen Y, Roy K, Vijaykumar TN. DCG: deterministic clock-gating for low-power microprocessor design. IEEE Transactions on Very Large Scale Integration VLSI Systems 2004; Volume 12 Issue 3: pp.245-254.
    [47]
    PowerArtist | apache design, inc.
    [48]
    Atrenta's SpyGlass power: Design for low power at RTL.
    [49]
    Calypto: Products: PowerPro CG.
    [50]
    Li L, Wang W, Choi K, Park SM, Chung M-K. SeSCG: selective sequential clock gating for ultra-low-power multimedia mobile processor design. IEEE International Conference on Electro/Information TechnologyEIT, 2010; pp.1-6.
    [51]
    Athas WC, Svensson LJ, Koller JG, Tzartzanis N, Chou EY-C. Low-power digital systems based on adiabatic-switching principles. IEEE Transactions on Very Large Scale Integration VLSI Systems 1994; Volume 2 Issue 4: pp.398-407.
    [52]
    Tirumalashetty V, Mahmoodi H. Clock gating and negative edge triggering for energy recovery clock. IEEE International Symposium on Circuits and Systems, 2007. ISCAS 2007, 2007; pp.1141-1144.
    [53]
    Mahmoodi H, Tirumalashetty V, Cooke M, Roy K. Ultra low-power clocking scheme using energy recovery and clock gating. IEEE Transactions on Very Large Scale Integration VLSI Systems 2009; Volume 17 Issue 1: pp.33-44.
    [54]
    Macii E, Bolzani L, Calimera A, Macii A, Poncino M. Integrating clock gating and power gating for combined dynamic and leakage power optimization in digital CMOS circuits. 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools, 2008. DSD '08, 2008 pp.298-303.
    [55]
    Lin T-H, Huang C-Y. Using SAT-based craig interpolation to enlarge clock gating functions. 48th ACM/EDAC/IEEE Design Automation ConferenceDAC, 2011; pp.621-626.
    [56]
    Agarwal N, Dimopoulos N. High-level FSMD design and automated clock gating with CoDeL. Canadian Journal of Electrical and Computer Engineering 2008; Volume 33 Issue 1: pp.31-38.
    [57]
    Farrahi AH, Chen C, Srivastava A, Tellez G, Sarrafzadeh M. Activity-driven clock design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2001; Volume 20 Issue 6: pp.705-714.
    [58]
    Lowering power at 28 nm with xilinx 7 series devices-whitepaper. Technical report, Xilinx Inc., August 2013.
    [59]
    Stephenson J. Design guidelines for optimal results in FPGAs. Technical report, Altera Corp., 2005.
    [60]
    Clock control block ALTCLKCTRL megafunction-user guide. Technical report, Altera Corp., June 2014.
    [61]
    Analysis of power savings from intelligent clock gating-application note. Technical report, Xilinx Inc., August 2012.
    [62]
    Reducing switching power with intelligent clock gating-whitepaper. Technical report, Xilinx Inc., August 2013.
    [63]
    Huda S, Mallick M, Anderson JH. Clock gating architectures for FPGA power reduction. International Conference on Field Programmable Logic and Applications, 2009. FPL 2009, 2009; pp.112-118.
    [64]
    Lamoureux J, Wilton SJE. Clock-aware placement for FPGAs. International Conference on Field Programmable Logic and Applications, 2007. FPL 2007, 2007; pp.124-131.
    [65]
    Shen W, Cai Y, Hong X, Hu J. Activity-aware registers placement for low power gated clock tree construction. IEEE Computer Society Annual Symposium on VLSI, 2007. ISVLSI '07, 2007; pp.383-388.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image International Journal of Circuit Theory and Applications
    International Journal of Circuit Theory and Applications  Volume 44, Issue 4
    April 2016
    164 pages

    Publisher

    John Wiley and Sons Ltd.

    United Kingdom

    Publication History

    Published: 01 April 2016

    Author Tags

    1. ASICs
    2. clock gating
    3. clock tree synthesis
    4. digital circuits
    5. low power
    6. power consumption

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 0
      Total Downloads
    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media