Export Citations
Save this search
Please login to be able to save your searches and receive alerts for new content matching your search criteria.
- research-articleNovember 2024
Toward Controllable Hierarchical Clock Tree Synthesis with Skew-Latency-Load Tree
DAC '24: Proceedings of the 61st ACM/IEEE Design Automation ConferenceArticle No.: 244, Pages 1–6https://doi.org/10.1145/3649329.3658243Clock tree synthesis (CTS) constructs an efficient clock tree, meeting design constraints and minimizing resource usage. It serves as a bridge between placement and routing, facilitating concurrent optimization of multiple design objectives. To construct ...
- invited-talkMarch 2024
DSO.ai - A Distributed System to Optimize Physical Design Flows
ISPD '24: Proceedings of the 2024 International Symposium on Physical DesignPages 115–116https://doi.org/10.1145/3626184.3639780The VLSI chip design process consists of a sequence of distinct steps like floor planning, placement, clock tree synthesis and routing. Each of these steps requires solving optimization problems that are often NP-hard, and the state-of-the art algorithms ...
- short-paperOctober 2021
Synthesis of predictable global NoC by abutment in synchoros VLSI design
NOCS '21: Proceedings of the 15th IEEE/ACM International Symposium on Networks-on-ChipPages 61–66https://doi.org/10.1145/3479876.3481594Synchoros VLSI design style has been proposed as an alternative to the standard cell-based design style; the word synchoros is derived from the Greek word choros for space. Synchoricity discretises space with a virtual grid, the way synchronicity ...
- research-articleAugust 2021
A Variation-aware Hold Time Fixing Methodology for Single Flux Quantum Logic Circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 26, Issue 6Article No.: 47, Pages 1–17https://doi.org/10.1145/3460289Single flux quantum (SFQ) logic is a promising technology to replace complementary metal-oxide-semiconductor logic for future exa-scale supercomputing but requires the development of reliable EDA tools that are tailored to the unique characteristics of ...
- research-articleJune 2021
A Reinforced Learning Solution for Clock Skew Engineering to Reduce Peak Current and IR Drop
GLSVLSI '21: Proceedings of the 2021 Great Lakes Symposium on VLSIPages 181–187https://doi.org/10.1145/3453688.3461754This paper purposes a Reinforcement Learning solution for peak current reduction by clock skew engineering. The reinforcement learning agent learns how to adjust each register's clock arrival time to maximize the clock arrival's distribution. The use of ...
-
- research-articleDecember 2020
Contributions to openroad from abroad: experiences and learnings
ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided DesignArticle No.: 113, Pages 1–8https://doi.org/10.1145/3400302.3415737The OpenROAD project is an ambitious initiative seeking to develop an automated, open-source RTL-to-GDSII flow. To build its complex toolset, OpenROAD brings together a team of industry experts, veteran scholars, and enthusiastic students from different ...
- research-articleMarch 2020
Soft-Clustering Driven Flip-flop Placement Targeting Clock-induced OCV
ISPD '20: Proceedings of the 2020 International Symposium on Physical DesignPages 25–32https://doi.org/10.1145/3372780.3375564On-Chip Variation (OCV) in advanced technology nodes introduces delay uncertainties that may cause timing violations. This problem drastically affects the clock tree that, besides the growing design complexity, needs to be appropriately synthesized to ...
- research-articleMarch 2020
Synthesis of Clock Networks with a Mode Reconfigurable Topology and No Short Circuit Current
ISPD '20: Proceedings of the 2020 International Symposium on Physical DesignPages 103–110https://doi.org/10.1145/3372780.3375559Circuits deployed in the Internet of Things operate in low and high performance modes to cater to variable frequency and power requirements. Consequently, the clock networks for such circuits must be synthesized meeting drastically different timing ...
- research-articleJune 2020
A timing uncertainty-aware clock tree topology generation algorithm for single flux quantum circuits
This paper presents a low-cost, timing uncertainty-aware synchronous clock tree topology generation algorithm for single flux quantum (SFQ) logic circuits. The proposed method considers the criticality of the data paths in terms of timing slacks as well ...
- research-articleMay 2019
Low Voltage Clock Tree Synthesis with Local Gate Clusters
GLSVLSI '19: Proceedings of the 2019 Great Lakes Symposium on VLSIPages 99–104https://doi.org/10.1145/3299874.3318004In this paper, a novel local clock gate cluster-aware low voltage clock tree synthesis methodology is introduced. In low voltage/swing clocking, timing closure is a challenging problem due to tight skew and slew constraints. The clock gating makes this ...
- research-articleApril 2019
Thermal-aware 3D Symmetrical Buffered Clock Tree Synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 24, Issue 3Article No.: 28, Pages 1–22https://doi.org/10.1145/3313798The semiconductor industry has accepted three-dimensional integrated circuits (3D ICs) as a possible solution to address speed and power management problems. In addition, 3D ICs have recently demonstrated a huge potential in reducing wire length and ...
- research-articleApril 2017
Low-Power Clock Tree Synthesis for 3D-ICs
ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 22, Issue 3Article No.: 50, Pages 1–24https://doi.org/10.1145/3019610We propose efficient algorithms to construct a low-power clock tree for through-silicon-via (TSV)-based 3D-ICs. We use shutdown gates to save clock trees’ dynamic power, which selectively turn off certain clock tree branches to avoid unnecessary clock ...
- research-articleMarch 2017
Clock data compensation aware clock tree synthesis in digital circuits with adaptive clock generation
Adaptive clock generation to track critical path delay enables lowering supply voltage with improved timing slack under supply noise. This paper presents how to synthesize clock tree in adaptive clocking to fully exploit the clock data compensation (CDC)...
- articleApril 2016
Clock gating methodologies and tools: a survey
International Journal of Circuit Theory and Applications (IJCTA), Volume 44, Issue 4Pages 798–816https://doi.org/10.1002/cta.2107Clock gating CG is a widely used design method for reducing the dynamic power consumption in digital circuits. Although it is a mature technique, theoretical work and tools for its application are still evolving and considered a matter of ongoing ...
- research-articleAugust 2014
Buffered clock tree synthesis considering self-heating effects
ISLPED '14: Proceedings of the 2014 international symposium on Low power electronics and designPages 111–116https://doi.org/10.1145/2627369.2627640A clock tree typically consumes substantial dynamic power, and thus the considerable heat generated by itself can cause serious clock-skew variations. In this paper, we propose a self-heating-aware buffered clock tree synthesis flow. A mixed integer ...
- research-articleMay 2014
OCV-aware top-level clock tree optimization
GLSVLSI '14: Proceedings of the 24th edition of the great lakes symposium on VLSIPages 33–38https://doi.org/10.1145/2591513.2591541The clock trees of high-performance synchronous circuits have many clock logic cells (e.g., clock gating cells, multiplexers and dividers) in order to achieve aggressive clock gating and required performance across a wide range of operating modes and ...
- research-articleMarch 2014
Clock tree resynthesis for multi-corner multi-mode timing closure
ISPD '14: Proceedings of the 2014 on International symposium on physical designPages 69–76https://doi.org/10.1145/2560519.2560524With aggressive technology scaling and complex design scenarios, timing closure has become a challenging and tedious job for the designers. Timing violations persist for multi- corner, multi-mode designs in the deep-routing stage although careful ...
- research-articleNovember 2012
Fast power- and slew-aware gated clock tree synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems (ITVL), Volume 20, Issue 11Pages 2094–2103https://doi.org/10.1109/TVLSI.2011.2168834Clock tree synthesis plays an important role on the total performance of chip. Gated clock tree is an effective approach to reduce the dynamic power usage. In this paper, two novel gated clock tree synthesizers, power-aware clock tree synthesizer (PACTS)...
- research-articleJuly 2012
Load-balanced clock tree synthesis with adjustable delay buffer insertion for clock skew reduction in multiple dynamic supply voltage designs
ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 17, Issue 3Article No.: 34, Pages 1–22https://doi.org/10.1145/2209291.2209307Power consumption is known to be a crucial issue in current IC designs. To tackle this problem, Multiple Dynamic Supply Voltage (MDSV) designs are proposed as an efficient solution for power savings. However, the increasing variability of clock skew ...