Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Public Access

Low-Power Clock Tree Synthesis for 3D-ICs

Published: 05 April 2017 Publication History

Abstract

We propose efficient algorithms to construct a low-power clock tree for through-silicon-via (TSV)-based 3D-ICs. We use shutdown gates to save clock trees’ dynamic power, which selectively turn off certain clock tree branches to avoid unnecessary clock activities when the modules in these tree branches are inactive. While this clock gating technique has been extensively studied in 2D circuits, its application in 3D-ICs is unclear. In 3D-ICs, a shutdown gate is connected to a control signal unit through control TSVs, which may cause placement conflicts with existing clock TSVs in the layout due to TSV’s large physical dimension. We develop a two-phase clock tree synthesis design flow for 3D-ICs: (1) 3D abstract clock tree generation based on K-means clustering and (2) clock tree embedding with simultaneous shutdown gates’ insertion based on simulated annealing (SA) and a force-directed TSV placer. Experimental results indicate that (1) the K-means clustering heuristic significantly reduces the clock power by clustering modules with similar switching behavior and close proximity, and (2) the SA algorithm effectively inserts the shutdown gates to a 3D clock tree, while considering control TSV’s placement. Compared with previous 3D clock tree synthesis techniques, our K-means clustering-based approach achieves larger reduction in clock tree power consumption while ensuring zero clock skew.

References

[1]
Luca Benini, Giovanni de Micheli, Enrico Macii, Massimo Poncino, and Riccardo Scarsi. 1999. Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers. ACM Trans. Des. Autom. Electron. Syst. 4, 4 (Oct. 1999), 351--375.
[2]
Leticia Bolzani, Andrea Calimera, Alberto Macii, Enrico Macii, and Massimo Poncino. 2009. Enabling concurrent clock and power gating in an industrial design flow. In Proc. Des. Autom. Test in Euro. 334--339.
[3]
Ting-Hai Chao, Yu-Chin Hsu, Jan-Ming Ho, and A. B. Kahng. 1992. Zero skew clock routing with minimum wirelength. IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process. 39, 11 (Nov. 1992), 799--814.
[4]
Wei-Chung Chao and Wai-Kei Mak. 2008. Low-power gated and buffered clock network construction. ACM Trans. Des. Autom. Electron. Syst. 13, 1 (Feb 2008), 20:1--20:20.
[5]
Monica Donno, Alessandro Ivaldi, Luca Benini, and Enrico Macii. 2003. Clock-tree power optimization based on RTL clock-gating. In Proc. 40th Design Automation Conf. (DAC’03).
[6]
Masato Edahiro. 1993. A clustering-based optimization algorithm in zero-skew routings. In Proc. 30th Design Automation Conf. (DAC’93). 612--616.
[7]
Amir H. Farrahi, Chunhong Chen, Gustavo Tellez, and Majid Sarrafzadeh. 2001. Activity-driven clock design. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 20, 6 (Nov. 2001), 705--714.
[8]
Mary Inaba, Naoki Katoh, and Hiroshi Imai. 1994. Applications of weighted Voronoi diagrams and randomization to variance-based K-clustering: (Extended abstract). In Proc. 10th Annual Symp. Comput. Geom. (SCG’94). ACM, New York, NY, 332--339.
[9]
ISPD. 2009. Ispd 2009 clock network synthesis contest. Retrieved from http://ispd.cc/contests/09/ ispd09cts.html.
[10]
ITRS. 2010. ITRS. 2010. International technology roadmap for semiconductors. Retrieved from http://www.itrs.net/.
[11]
Michael A. B. Jackson, Arvind Srinivasan, and E. S. Kuh. 1990. Clock routing for high-performance ICs. In Proc. 27th Design Automation Conf. (DAC’90). 573--579.
[12]
Moongon Jung, J. Mitra, D. Z. Pan, and Sung Kyu Lim. 2012. TSV stress-aware full-chip mechanical reliability analysis and optimization for 3-D IC. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 31, 8 (Aug. 2012), 1194--1207.
[13]
Tak-Yung Kim and Taewhan Kim. 2010. Clock tree embedding for 3D ICs. In Proc. 15th Asia South Pac. Des. Autom. Conf. (ASP-DAC’10). 486--491.
[14]
Tak-Yung Kim and Taewhan Kim. 2011. Clock tree synthesis for TSV-based 3D IC designs. ACM Trans. Des. Autom. Electron. Syst. 16, 4 (Oct. 2011), 48:1--48:21.
[15]
Hai Li, S. Bhunia, Yiran Chen, K. Roy, and T. N. Vijaykumar. 2004. DCG: Deterministic clock-gating for low-power microprocessor design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 12, 3 (Mar. 2004), 245--254.
[16]
Chang Liu, Taigon Song, Jonghyun Cho, Joohee Kim, Joungho Kim, and Sung-Kyu Lim. 2011. Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC. In Proc. 48th Design Automation Conf. (DAC’11). 783--788.
[17]
Stuart Lloyd. 1982. Least squares quantization in PCM. IEEE Trans. Inf. Theor. 28, 2 (1982), 129--137.
[18]
Jingwei Lu, Wing-Kai Chow, and Chiu-Wing Sham. 2012. Fast power- and slew-aware gated clock tree synthesis. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20, 11 (Nov. 2012), 2094--2103.
[19]
Tiantao Lu, Caleb Serafy, Zhiyuan Yang, and Ankur Srivastava. 2016a. Voltage noise induced DRAM soft error reduction technique for 3D-CPUs. In Proc. 2016 Int. Symp. Low Power Electron. Design (ISLPED’16). ACM, 82--87.
[20]
Tiantao Lu and Ankur Srivastava. 2014. Gated low-power clock tree synthesis for 3D-ICs. In Proc. 2014 Int. Symp. Low Power Electron. Design (ISLPED’14). 319--322.
[21]
Tiantao Lu and Ankur Srivastava. 2015a. Electromigration-aware clock tree synthesis for TSV-based 3D-ICs. In Proc. 25th Ed. Great Lakes Symp. VLSI (GLSVLSI’15). 27--32.
[22]
Tiantao Lu and A. Srivastava. 2015b. Modeling and layout optimization for tapered TSVs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23, 12 (Dec. 2015), 3129--3132.
[23]
Tiantao Lu, Zhiyuan Yang, and Ankur Srivastava. 2016b. Electromigration-aware placement for 3D-ICs. In Int. Symp. Quality Electron. Design (ISQED'16). 35--40.
[24]
Tiantao Lu, Zhiyuan Yang, and Ankur Srivastava. 2016c. Post-placement optimization for thermal-induced mechanical stress reduction. In 2016 IEEE Comput. Soc. Ann. Symp. VLSI (ISVLSI) (2016), 158--163.
[25]
Chiao-Ling Lung, Yu-Shih Su, Hsih-Hsiu Huang, Yiyu Shi, and Shih-Chieh Chang. 2013. Through-silicon via fault-tolerant clock Networks for 3-D ICs. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 32, 7 (July 2013), 1100--1109.
[26]
Jens Massberg and Jens Vygen. 2008. Approximation algorithms for a facility location problem with service capacities. ACM Trans. Algorithms 4, 4 (Aug. 2008), 50:1--50:15.
[27]
Jaewon Oh and M. Pedram. 2001. Gated clock routing for low-power microprocessor design. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 20, 6 (Jun. 2001), 715--722.
[28]
Heechun Park and Taewhan Kim. 2015. Synthesis of TSV fault-tolerant 3-D clock trees. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 34, 2 (Feb. 2015), 266--279.
[29]
Sematech. 2010. Concerns of 3D Integration Technology Using TSV. Retrieved from http://www.sematech.org/ meetings/archives/symposia/9028/Session2_3D/Lee_KangWook.pdf.
[30]
Claude E. Shannon. 2001. A mathematical theory of communication. SIGMOBILE Mob. Comput. Commun. Rev. 5, 1 (Jan. 2001), 3--55.
[31]
Rupesh S. Shelar. 2012. A fast and near-optimal clustering algorithm for low-power clock tree synthesis. Trans. Comp.-Aided Des. Integ. Cir. Sys. 31, 11 (Nov. 2012), 1781--1786.
[32]
Rupesh S. Shelar and Marek Patyra. 2010. Impact of local interconnects on timing and power in a high performance microprocessor. In Proc. ACM Int. Symp. Phys. Design.
[33]
Weixiang Shen, Yici Cai, Xianlong Hong, and Jiang Hu. 2010. An effective gated clock tree design based on activity and register aware placement. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 18, 12 (Dec. 2010), 1639--1648.
[34]
Natarajan Viswanathan and Chris Chong-Nuen Chu. 2005. FastPlace: Efficient analytical placement using cell shifting, iterative local refinement,and a hybrid net model. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. 24, 5 (May 2005), 722--733.
[35]
Yang Xie, Chongxi Bao, Tiantao Lu, and Ankur Srivastava. 2016. Security and vulnerability implications of 3D ICs. IEEE Trans. Multi-Scale Comput. Syst. 2, 2 (Apr. 2016), 108--122.
[36]
Jaeseok Yang, J. S. Pak, Xin Zhao, Sung Kyu Lim, and D. Z. Pan. 2011. Robust clock tree synthesis with timing yield optimization for 3D-ICs. In Proc. 16th Asia South Pac. Des. Autom. Conf. (ASP-DAC’11). 621--626.
[37]
Xin Zhao, J. Minz, and Sung Kyu Lim. 2011. Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs. IEEE Trans. Compon. Packag. Manuf. Technol. 1, 2 (Feb. 2011), 247--259.

Cited By

View all
  • (2025)Toward Advancing 3D-ICs Physical Design: Challenges and OpportunitiesProceedings of the 30th Asia and South Pacific Design Automation Conference10.1145/3658617.3703135(294-301)Online publication date: 20-Jan-2025
  • (2023)A Configurable Multi Source Clock Tree Synthesis For High Frequency Network On Chips2023 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS46773.2023.10181875(1-5)Online publication date: 21-May-2023
  • (2023)Harnessing Hybrid Clock Tree Topology to Boost PPA in Highly Utilized Designs2023 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)10.1109/APCCAS60141.2023.00074(299-303)Online publication date: 19-Nov-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 22, Issue 3
July 2017
440 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/3062395
  • Editor:
  • Naehyuck Chang
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 05 April 2017
Accepted: 01 November 2016
Revised: 01 September 2016
Received: 01 May 2016
Published in TODAES Volume 22, Issue 3

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. 3D-ICs
  2. TSV
  3. clock gating
  4. clock tree synthesis
  5. optimization

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)239
  • Downloads (Last 6 weeks)18
Reflects downloads up to 05 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2025)Toward Advancing 3D-ICs Physical Design: Challenges and OpportunitiesProceedings of the 30th Asia and South Pacific Design Automation Conference10.1145/3658617.3703135(294-301)Online publication date: 20-Jan-2025
  • (2023)A Configurable Multi Source Clock Tree Synthesis For High Frequency Network On Chips2023 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS46773.2023.10181875(1-5)Online publication date: 21-May-2023
  • (2023)Harnessing Hybrid Clock Tree Topology to Boost PPA in Highly Utilized Designs2023 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS)10.1109/APCCAS60141.2023.00074(299-303)Online publication date: 19-Nov-2023
  • (2022)A Charger Ripple Inhibition Strategy for Motor Train Unit Based on Compound Control2022 2nd International Conference on Electrical Engineering and Mechatronics Technology (ICEEMT)10.1109/ICEEMT56362.2022.9862609(159-163)Online publication date: 1-Jul-2022
  • (2022)A Clock Tree Synthesis Scheme Based On Flexible H-tree2022 2nd International Conference on Electrical Engineering and Mechatronics Technology (ICEEMT)10.1109/ICEEMT56362.2022.9862608(249-252)Online publication date: 1-Jul-2022
  • (2020)A novel power aware placement and adaptive radix tree based clock tree synthesis for 3D-integrated circuitsMicroprocessors and Microsystems10.1016/j.micpro.2020.103455(103455)Online publication date: Nov-2020
  • (2017)TSV-Based 3-D ICs: Design Methods and ToolsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.266660436:10(1593-1619)Online publication date: Oct-2017

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Full Access

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media