Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Fast power- and slew-aware gated clock tree synthesis

Published: 01 November 2012 Publication History

Abstract

Clock tree synthesis plays an important role on the total performance of chip. Gated clock tree is an effective approach to reduce the dynamic power usage. In this paper, two novel gated clock tree synthesizers, power-aware clock tree synthesizer (PACTS) and power- and slew-aware clock tree synthesizer (PSACTS), are proposed with zero skew achieved based on Elmore RC model. In PACTS, the topology of the clock tree is constructed with simultaneous buffer/gate insertion, which reduces the switched capacitance. In PSACTS, a more practical clock slew constraint is applied. Compared to previous works, clock tree synthesis is done first and followed by the insertions of clock gates. The clock slew changes a lot after the insertions of clock gates in real cases. In our work, the clock tree is constructed simultaneously with the insertions of clock gates. This ensures the limitation of the clock slew can be strictly satisfied while the limitation of the clock slew is always applied in the real design. The experimental results show that the power cost of our work is smaller and the runtime is reduced. The slew rate constraint is satisfied with a small clock skew from SPICE estimation. Generally, our work has better performance, improved efficiency and is more practical to be applied in the industry.

References

[1]
J. Cong, A. B. Kahng, C.-K. Koh, and C.-W. A. Tsao, "Bounded-skew clock and steiner routing," ACM Trans. Design Autom. Electron. Syst., vol. 3, pt. 3, pp. 341-388, 1998.
[2]
T. Kitahara, F. Minami, T. Ueda, K. Usami, S. Nishio, M. Mruakata, and T. Mitsuhashi, "Aclock-gating method for low-power LSI design," in Proc. Asia South Pacific Design Autom. Conf., 1998, pp. 307-312.
[3]
M. A. B. Jackson, A. Srinivasan, and E. S. Kuh, "Clock routing for high-performance ICs," in Proc. IEEE/ACM Design Autom. Conf., 1990, pp. 573-579.
[4]
M. Edahiro and T. Yoshimura, "Minimum path-length equidistant routing," in Proc. IEEE Asia-Pacific Conf. Circuits Syst., 1992, pp. 41-46.
[5]
A. Kahng, J. Cong, and G. Robins, "High-performance clock routing based on recursive geometric matching," in Proc. IEEE/ACM Design Autom. Conf., 1991, pp. 322-327.
[6]
W. C. Elmore, "The transient response of damped linear networks with particular regard to wide band amplifiers," J. Appl. Phys., vol. 19, no. 1, pp. 55-63, Jan. 1948.
[7]
R.-S. Tsay, "Exact zero skew," in Proc. IEEE/ACM Int. Conf. Comput.- Aided Design, 1991, pp. 336-339.
[8]
K. D. Boese and A. B. Kahng, "Zero-skew clock routing trees with minimum wirelength," in Proc. 5th Annu. IEEE Int. ASIC Conf. Exhib., 1992, pp. 17-21.
[9]
T. H. Chao, Y. C. Hsu, J. M. Ho, and A. B. Kahng, "Zero skew clock routing with minimum wirelength," IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 39, no. 11, pp. 799-814, Nov. 1992.
[10]
L. P. P. P. van Ginneken, "Buffer placement in distributed RC-tree networks for minimal elmore delay," in Proc. Int. Symp. Circuits Syst., 1990, pp. 865-868.
[11]
J. D. Cho and M. Sarrafzadeh, "A buffer distribution algorithm for high-speed clock routing," in Proc. IEEE/ACM Design Autom. Conf., 1993, pp. 537-543.
[12]
W. Shi and Z. Li, "A fast algorithm for optimal buffer insertion," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 6, pp. 79-891, May 2005.
[13]
A. Vittal and M. Marek-Sadowska, "Low power buffered clock tree design," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 16, no. 9, pp. 965-975, Sep. 1997.
[14]
G. E. Tellez and M. Sarrafzadeh, "Minimal buffer insertion in clock trees with skew and slew rate constraints," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 16, no. 4, pp. 333-342, Apr. 1997.
[15]
M. Edahiro, "A clustering-based optimization algorithm in zero-skew routings," in Proc. IEEE/ACM Design Autom. Conf., 1993, pp. 612-616.
[16]
R. Chaturvedi and J. Hu, "Buffered clock tree for high quality IC design," in Proc. Int. Symp. Quality Electron. Design, 2004, pp. 381-386.
[17]
J. Lu, W. K. Chow, C. W. Sham, and E. F. Y. Young, "A dual-MST approach for clock network synthesis," in Proc. Asia South Pacific Design Autom. Conf., 2010, pp. 467-473.
[18]
Y.-Y. Chen, C. Dong, and D. Chen, "Clock tree synthesis under aggressive buffer insertion," in Proc. IEEE/ACM Design Autom. Conf., 2010, pp. 86-89.
[19]
W.-H. Liu, Y.-L. Li, and H.-C. Chen, "Minimizing clock latency range in robust clock tree synthesis," in Proc. Asia South Pacific Design Autom. Conf., 2010, pp. 389-394.
[20]
C. M. Chang, S. H. Huang, Y. K. Ho, J. Z. Lin, H. P. Wang, and Y. S. Lu, "Type-matching clock tree for zero skew clock gating," in Proc. IEEE/ACM Design Autom. Conf., 2008, pp. 714-719.
[21]
M. Donno, A. Ivaldi, L. Benini, and E. Macii, "Clock-tree power optimization based on RTL clock-gating," in Proc. IEEE/ACM Design Autom. Conf., 2003, pp. 622-627.
[22]
Y. Luo, J. Yu, J. Yang, and L. Bhuyan, "Low power network processor design using clock gating," in Proc. IEEE/ACM Design Autom. Conf., 2005, pp. 712-715.
[23]
H. Li, S. Bhunia, Y. Chen, K. Roy, and T. N. Vijaykumar, "DCG: Deterministic clock-gating for low-power microprocessor design," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 3, pp. 245-254, Mar. 2004.
[24]
D. Garrett, M. Stan, and A. Dean, "Challenges in clockgating for a low power ASIC methodology," in Proc. Int. Symp. Low Power Electron. Design, 1999, pp. 176-181.
[25]
W. Shen, Y. Cai, X. Hong, and J. Hu, "An effective gated clock tree design based on activity and register aware placement," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 12, pp. 1639-1648, Dec. 2010.
[26]
G. E. Tellez, A. Farrahi, and M. Sarrafzadeh, "Activity-driven clock design for low power circuits," in Proc. IEEE/ACM Int. Conf. Comput.- Aided Design, 1995, pp. 62-65.
[27]
A. H. Farrahi, C. Chen, A. Srivastava, G. Tellez, and M. Sarrafzadeh, "Activity-driven clock design," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 20, no. 6, pp. 705-714, Jun. 2001.
[28]
C. Chen, C. Kang, and M. Sarrafzadeh, "Activity-sensitive clock tree construction for low power," in Proc. Int. Symp. Low Power Electron. Design, 2002, pp. 279-282.
[29]
J. Oh and M. Pedram, "Gated clock routing minimizing the switched capacitance," in Proc. Design, Autom. Test in Euro. Conf. Exhib., 1998, pp. 692-697.
[30]
J. Oh and M. Pedram, "Gated clock routing for low-power microprocessor design," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 20, no. 6, pp. 715-722, Jun. 2001.
[31]
W. C. Chao and W. K. Mak, "Low-power gated and buffered clock network construction," ACM Trans. Design Autom. Electron. Syst., vol. 13, no. 1, pp. 20:1-20:20, Jan. 2008.
[32]
C. N. Sze, P. Restle, G.-J. Nam, and C. Alpert, "ISPD2009 clock network synthesis contest," in Proc. ACM Int. Symp. Phys. Design, 2009, pp. 149-150.
[33]
C. N. Sze, "ISPD 2010 high performance clock network synthesis contest: Benchmark suite and results," in Proc. ACM Int. Symp. Phys. Design, 2010, pp. 149-150.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 20, Issue 11
November 2012
230 pages

Publisher

IEEE Educational Activities Department

United States

Publication History

Published: 01 November 2012
Accepted: 08 September 2011
Revised: 09 January 2011
Received: 16 August 2010

Author Tags

  1. clock gating
  2. clock tree synthesis
  3. design automation

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 24 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2019)Low Voltage Clock Tree Synthesis with Local Gate ClustersProceedings of the 2019 Great Lakes Symposium on VLSI10.1145/3299874.3318004(99-104)Online publication date: 13-May-2019
  • (2019)Clock node capacity minimization using lookup table based controller for ultrasound and medical imaging processorCluster Computing10.1007/s10586-017-1642-522:2(4129-4134)Online publication date: 1-Mar-2019
  • (2017)CHARSTARACM SIGARCH Computer Architecture News10.1145/3140659.308021245:2(147-160)Online publication date: 24-Jun-2017
  • (2017)CHARSTARProceedings of the 44th Annual International Symposium on Computer Architecture10.1145/3079856.3080212(147-160)Online publication date: 24-Jun-2017
  • (2017)Low-Power Clock Tree Synthesis for 3D-ICsACM Transactions on Design Automation of Electronic Systems10.1145/301961022:3(1-24)Online publication date: 5-Apr-2017
  • (2016)Clock gating methodologies and toolsInternational Journal of Circuit Theory and Applications10.1002/cta.210744:4(798-816)Online publication date: 1-Apr-2016
  • (2015)Synthesis for Power-Aware Clock SpinesProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840837(126-131)Online publication date: 2-Nov-2015
  • (2015)ePlaceACM Transactions on Design Automation of Electronic Systems10.1145/269987320:2(1-34)Online publication date: 2-Mar-2015
  • (2015)ePlace-MS: Electrostatics-Based Placement for Mixed-Size CircuitsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2015.239126334:5(685-698)Online publication date: 17-Apr-2015
  • (2015)Scaling persistent connections for cloud servicesComputer Networks: The International Journal of Computer and Telecommunications Networking10.1016/j.comnet.2015.10.00493:P3(518-530)Online publication date: 24-Dec-2015
  • Show More Cited By

View Options

View options

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media