Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Aurora: A Cross-Layer Solution for Thermally Resilient Photonic Network-on-Chip

Published: 01 January 2015 Publication History

Abstract

With silicon optical technology moving toward maturity, the use of photonic networks-on-chip (NoCs) for global chip communication is emerging as a promising solution to the communication requirements of future many core processors. It is expected that photonic NoCs will play an important role in alleviating current power, latency, and bandwidth constraints. However, photonic NoCs are sensitive to ambient temperature variations because their basic constituents, ring resonators, are themselves sensitive to those variations. Since ring resonators are basic building blocks for photonic modulators, switches, multiplexers, and demultiplexers, variations of on-chip temperature pose serious challenges to the proper operation of photonic NoCs. Proposed methods that mitigate the effects of temperature at the device level are either difficult to use in CMOS processes or not suitable for large scale implementation. In this paper, we propose Aurora, a thermally resilient photonic NoC architecture design that supports reliable and low bit error rate (BER) on-chip communications in the presence of large temperature variations. Our proposed architecture leverages cross-layer solutions at the device, architecture, and operating system (OS) layers that individually provide considerable improvements and synergistically provide even more significant improvements. To compensate for small temperature variations, our design varies the bias current through ring resonators. For larger temperature variations, we propose architecture-level techniques to reroute messages away from hot regions, and through cooler regions, to their destinations. We also propose a thermal/congestion-aware coscheduling algorithm at the OS level to further lower BER by reorganizing the thermal profile of the chip. Our simulation results show that Aurora provides a robust architectural solution to handle temperature variation effects on future photonic NoCs. For instance, average BER and message error rate are reduced by 96% and 85%, respectively, when the combined thermal optimization scheme [shortest path first+ OS] is applied. From the perspective of power efficiency, Aurora is also superior to conventional photonic NoC architectures by as much as 37%.

References

[1]
G. Chen, H. Chen, M. Haurylau, N. A. Nelson, D. H. Albonesi, P. M. Fauchet, et al., “Predictions of CMOS compatible on-chip optical interconnect,” VLSI J., vol. 40, no. 4, pp. 434–446, Jul. 2007.
[2]
J. Owens, W. Dally, R. Ho, D. N. Jayasimha, S. Keckler, and L. Peh, “Research challenges for on-chip interconnection networks,” IEEE Micro, vol. 27, no. 5, pp. 96–108, Sep./Oct. 2007.
[3]
N. Kirman, M. Kirman, R. K. Dokania, J. Martínez, A. B. Apsel, M. A. Watkins, et al., “Leveraging optical technology in future bus-based chip multiprocessors,” in Proc. 39th Annu. IEEE/ACM Int. Symp. Microarchit., Dec. 2006, pp. 492–503.
[4]
D. Vantrease, N. Binkert, R. Schreiber, and M. Lipasti, “Light speed arbitration and flow control for nanophotonic interconnects,” in Proc. 42nd Annu. IEEE/ACM Int. Symp. Microarchit., Dec. 2009, pp. 304–315.
[5]
G. Chen, H. Chen, M. Haurylau, N. A. Nelson, D. H. Albonesi, P. M. Fauchet, et al., “On-chip optical interconnects: Challenges and critical directions,” IEEE J. Sel. Topics Quantum Electron., vol. 12, no. 6, pp. 1699–1705, Mar. 2007.
[6]
N. Kirman and J. F. Martínez, “An efficient all-optical on-chip interconnect based on oblivious routing,” in Proc. 15th ASPLOS, Oct. 2010, pp. 15–28.
[7]
A. Joshi, C. Batten, Y. Kwon, S. Beamer, I. Shamim, K. Asanovic, et al., “Silicon-photonic clos networks for global on-chip communication,” in Proc. 3rd ACM/IEEE Int. Symp. NOCS, Apr. 2009, pp. 124–133.
[8]
V. Stojanovic, A. Joshi, C. Batten, Y. Kwon, and K. Asanovic, “Manycore processor networks with monolithic integrated CMOS photonics,” in Proc. 29th CLEO/QELS, Jun. 2009, pp. 1–2.
[9]
M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi, “Phastlane: A rapid transit optical routing network,” in Proc. 36th Annu. ISCA, Jun. 2009, pp. 441–450.
[10]
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary, “Firefly: Illuminating future network-on-chip with nanophotonics,” in Proc. 36th Annu. ISCA, Jun. 2009, pp. 429–440.
[11]
D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, et al., “Corona: System implications of emerging nanophotonic technology,” in Proc. 35th Annu. ISCA, Jun. 2008, pp. 153–164.
[12]
A. Shacham, K. Bergman, and L. Carloni, “Photonic networks-on-chip for future generations of chip multiprocessors,” IEEE Trans. Comput., vol. 57, no. 9, pp. 1246–1260, Sep. 2008.
[13]
D. Miller, “Rationale and challenges for optical interconnects to electronic chips,” Proc. IEEE, vol. 88, no. 6, pp. 728–749, Jun. 2000.
[14]
Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, “12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators,” Opt. Exp., vol. 15, no. 2, pp. 430–436, Jan. 2007.
[15]
T. Yin, R. Cohen, M. M. Morse, G. Sarid, Y. Chetrit, D. Rubin, et al., “40Gb/s Ge-on-SOI waveguide photodetectors by selective Ge growth,” in Proc. OFC/NFOEC, Feb. 2008, pp. 1–3.
[16]
M. Lipson, “Guiding, modulating, and emitting light on silicon-challenges and opportunities,” J. Lightw. Technol., vol. 23, no. 12, pp. 4222–4238, Dec. 2005.
[17]
M. S. Nawrocka, T. Liu, X. Wang, and R. R. Panepucci, “Tunable silicon microring resonator with wide free spectral range,” Appl. Phys. Lett., vol. 89, no. 7, pp. 071110-1–071110-3, Aug. 2006.
[18]
S. Manipatruni, R. K. Dokania, B. Schmidt, N. Sherwood-Droz, C. B. Poitras, A. B. Apsel, et al., “Wide temperature range operation of micrometerscale silicon electro-optic modulator,” Opt. Lett., vol. 33, no. 19, pp. 2185–2187, Oct. 2008.
[19]
K. Lahiri, A. Raghunathan, and S. Dey, “System-level performance analysis for designing on-chip communication architectures,” IEEE Trans. Comput., Aided Des. Integr. Circuits Syst., vol. 20, no. 6, pp. 768–783, Jun. 2001.
[20]
R. Amatya, C. W. Holzwarth, F. Gan, H. I. Smith, F. Kärtner, R. J. Ram, et al., “Low power thermal tuning of second-order microring resonators,” in Proc. CLEO/QELS, May 2007, pp. 1–2.
[21]
P. Alipour, E. S. Hosseini, A. Eftekhar, B. Momeni, and A. Adibi, “Temperature-insensitive silicon microdisk resonators using polymeric cladding layers,” in Proc. CLEO/QELS, Jun. 2009, pp. 1–2.
[22]
M. Han and A. Wang, “Temperature compensation of optical microresonators using a surface layer with negative thermo-optic coefficient,” Opt. Lett., vol. 32, no. 13, pp. 1800–1802, Jul. 2007.
[23]
Semiconductor Industries Association. Washington, DC, USA. (2006). International Technology Roadmap for Semiconductors [Online]. Available: http://www.itrs.net/
[24]
B. G. Lee, X. Chen, A. Biberman, X. Liu, I. Hsieh, C. Chou, et al., “Ultrahigh-bandwidth silicon photonic nanowire waveguides for on-chip networks,” IEEE Photon. Technol. Lett., vol. 20, no. 6, pp. 398–400, Mar. 15, 2008.
[25]
R. A. Soref and B. R. Bennett, “Electrooptical effects in silicon,” IEEE J. Quantum Electron., vol. 23, no. 1, pp. 123–129, Jan. 1987.
[26]
Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, “Micrometre-scale silicon electro-optic modulator,” Nature, vol. 435, pp. 325–327, May 2005.
[27]
M. Lipson, “Compact electro-optic modulators on a silicon chip,” IEEE J. Sel. Topics Quantum Electron., vol. 12, no. 6, pp. 1520–1526, Nov./Dec. 2006.
[28]
Optisystem Simulator, Optiwave, Ottawa, Canada, 2013.
[29]
L. Zheng, A. Mickelson, L. Shang, M. Vachharajani, D. Filipovic, W. Park, et al., “Spectrum: A hybrid nanophotonic—Electric onchip network,” in Proc. DAC, Jul. 2009, pp. 575–580.
[30]
K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan, “Temperature-aware microarchitecture: Modeling and implementation,” ACM Trans. Archit. Code Optim., vol. 1, no. 1, pp. 94–125, Mar. 2004.
[31]
N. Agarwal, T. Krishna, L. Peh, and N. K. Jha, “GARNET: A detailed on-chip network model inside a full-system simulator,” in Proc. IEEE ISPASS, Apr. 2009, pp. 33–42.
[32]
M. A. Hopcroft, B. Kim, S. Chandorkar, R. Melamud, M. Agarwal, C. M. Jha, et al., “Using the temperature dependence of resonator quality factor as a thermometer,” Appl. Phys. Lett., vol. 91, no. 1, pp. 013505-1–013505-3, Jul. 2007.
[33]
N. Sherwood-Droz, H. Wang, L. Chen, B. G. Lee, A. Biberman, K. Bergman, et al., “Optical 4 $\,\times\,$ 4 hitless silicon router for optical networks-on-chip (NoC),” Opt. Exp., vol. 16, no. 20, pp. 15915–15922, Sep. 2008.
[34]
G. M. Link, and N. Vijaykrishnan, “Hotspot prevention through runtime reconfiguration in network-on-chip,” in Proc. Conf. DATE, vol. 1. 2006, pp. 648–649, 2005.
[35]
W. J. Dally and B. Towles, Principles and Practices of Interconnection Networks. San Mateo, CA, USA: Morgan Kaufmann, 2004.
[36]
P. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, et al., “Simics: A full system simulation platform,” Computer, vol. 35, no. 2, pp. 50–58, 2002.
[37]
M. M. K. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, et al., “Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset,” ACM SIGARCH Comput. Archit., vol. 33, no. 4, pp. 92–99, 2005.
[38]
A. Shacham, K. Bergman, and L. P. Carloni, “The case for low-power photonic networks-on-chip,” in Proc. DAC, 2007, pp. 132–135.
[39]
A. B. Kahng, B. Li, L. S. Peh, and K. Samadi, “ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration,” in Proc. Conf. Des., Autom. Test Eur., 2009, pp. 423–428.
[40]
C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, et al., “Building manycore processor-to-dram networks with monolithic silicon photonics,” in Proc. Hot Interconnects, Aug. 2008, pp. 21–30.
[41]
L. Shang, L.-S. Peh, A. Kumar, and N. K. Jha, “Thermal modeling, characterization and management of on-chip networks,” in Proc. 37th Annu. IEEE/ACM Int. Symp., Dec. 2004, pp. 67–78.
[42]
W. Green, M. Rooks, L. Sekaric, and Y. Vlasov, “Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator,” Opt. Exp., vol. 15, no. 25, pp. 17106–17113, 2007.
[43]
A. Biberman, N. Sherwood-Droz, B. G. Lee, M. Lipson, and K. Bergman, “Thermally active 4 $\,\times\,$ 4 non-blocking switch for networks-on-chip,” in Proc. 21st Annu. Meeting IEEE LEOS, pp. 370–371, Nov. 2008.
[44]
P. Cheben, D. Xu, S. Janz, and A. Delage, “Scaling down photonic waveguide devices on the SOI platform,” Proc. SPIE, vol. 5117, pp. 147–156, Jan. 2003.
[45]
S. M. Weiss, M. Molinari, and P. M. Fauchet, “Temperature stability for silicon-based photonic band-gap structures,” Appl. Phys. Lett., vol. 83, no. 10, pp. 1980–1982, 2003.
[46]
B. Guha, B. Kyotoku, and M. Lipson, “CMOS-compatible athermal silicon microring resonators,” Opt. Exp., vol. 18, no. 4, pp. 3487–3493, 2010.
[47]
M. Wang, H. Ng, D. Li, X. Wang, J. Martinez, R. Panepucci, et al., “Wavelength reconfigurable photonic switching using thermally tuned micro-ring resonators fabricated on silicon substrate,” Proc. SPIE, vol. 6645, p. 66450I, Sep. 2007.
[48]
V. R. Almeida, C. A. Barrios, R. R. Panepucci, and M. Lipson, “All-optical control of light on a silicon chip,” Nature, vol. 431, pp. 1081–1084, Aug. 2004.
[49]
N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, et al., “Leveraging optical technology in future bus-based chip multiprocessors,” in Proc. IEEE/ACM Int. Symp. Microarchitecture, Dec. 2006, pp. 492–503.
[50]
A. Melloni, M. Martinelli, G. Cusmai, and R. Siano, “Experimental evaluation of ring resonator filters impact on the bit error rate in non-return to zero transmission systems,” Opt. Commun., vol. 234, nos. 1–6, pp. 211–216, 2004.
[51]
C. Li, R. Bai, A. Shafik, E. Z. Tabasy, G. Tang, C. Ma, et al., “A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2013, pp. 124–125.
[52]
G. Y. Chen, T. Lee, X. L. Zhang, G. Brambilla, and T. P. Newson, “Temperature compensation techniques for resonantly enhanced sensors and devices based on optical microcoil resonators,” Opt. Commun., vol. 285, no. 23, pp. 4677–4683, 2012.

Cited By

View all
  • (2021)Attack Mitigation of Hardware Trojans for Thermal Sensing via Micro-ring Resonator in Optical NoCsACM Journal on Emerging Technologies in Computing Systems10.1145/343367617:3(1-23)Online publication date: 30-Jun-2021
  • (2020)System-level evaluation of chip-scale silicon photonic networks for emerging data-intensive applicationsProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408681(1444-1449)Online publication date: 9-Mar-2020
  • (2020)Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-On-ChipsProceedings of the 25th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC47756.2020.9045163(109-114)Online publication date: 17-Jan-2020
  • Show More Cited By

Index Terms

  1. Aurora: A Cross-Layer Solution for Thermally Resilient Photonic Network-on-Chip
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
        IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 23, Issue 1
        Jan. 2015
        217 pages

        Publisher

        IEEE Educational Activities Department

        United States

        Publication History

        Published: 01 January 2015

        Author Tags

        1. thermally resilient
        2. Bit error rate (BER)
        3. photonic network-on-chip (NoC)

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 12 Nov 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2021)Attack Mitigation of Hardware Trojans for Thermal Sensing via Micro-ring Resonator in Optical NoCsACM Journal on Emerging Technologies in Computing Systems10.1145/343367617:3(1-23)Online publication date: 30-Jun-2021
        • (2020)System-level evaluation of chip-scale silicon photonic networks for emerging data-intensive applicationsProceedings of the 23rd Conference on Design, Automation and Test in Europe10.5555/3408352.3408681(1444-1449)Online publication date: 9-Mar-2020
        • (2020)Maximizing the Communication Parallelism for Wavelength-Routed Optical Networks-On-ChipsProceedings of the 25th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC47756.2020.9045163(109-114)Online publication date: 17-Jan-2020
        • (2019)Hardware-Software Collaborative Thermal Sensing in Optical Network-on-Chip--based Manycore SystemsACM Transactions on Embedded Computing Systems10.1145/336209918:6(1-24)Online publication date: 15-Nov-2019
        • (2019)Optics for Disaggregating Data Centers and Disintegrating ComputingOptical Network Design and Modeling10.1007/978-3-030-38085-4_24(274-285)Online publication date: 13-May-2019
        • (2018)A 16-Gb/s Low-Power Inductorless Wideband Gain-Boosted Baseband Amplifier With Skewed Differential Topology for Wireless Network-on-ChipIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.285689026:11(2406-2418)Online publication date: 1-Nov-2018
        • (2017)A Survey on Optical Network-on-Chip ArchitecturesACM Computing Surveys10.1145/313134650:6(1-37)Online publication date: 6-Dec-2017
        • (2016)Cross-layer floorplan optimization for silicon photonic NoCs in many-core systemsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972114(1309-1314)Online publication date: 14-Mar-2016
        • (2016)Design of Silicon Photonic Interconnect ICs in 65-nm CMOS TechnologyIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.250445924:6(2234-2243)Online publication date: 20-May-2016

        View Options

        View options

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media