Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

An Adaptive Mechanism for Designing Efficient Snoop Filters

Published: 01 July 2018 Publication History

Abstract

A common mechanism to ensure cache coherence is to issue snoop requests to all processors to check for the presence of cached data. Since most of snoop requests result in misses in caches and waste a lot of power, snoop filters are widely used to filter out unnecessary snoop requests to reduce power consumption. However, snoop filters also suffer from the similar problem that the false positive predictions consume a large amount of power. Substantially, designing an efficient snoop filter has to make tradeoff decisions between the filter rate and hardware cost. Traditionally, the snoop filter rate can be improved by increasing the memory capacity of snoop filters, but results in the burden of hardware overhead. In this paper, we propose an efficient adaptive mechanism to improve the filter rate of snoop filters by duplicating multiple copies of small snoop filters and distributing cache tags evenly to the duplicated copies according to the analytics of page tables. Experimental results show that the adaptive mechanism applied to JETTY snoop filters achieves an average of 19.17% and 76.1% improvement in the filter rate and memory reduction for the Splash 2 benchmarks, respectively.

References

[1]
M. Blumrich, V. Salapura, and A. Gara, “<chapter-title>Exploring the architecture of a stream register-based snoop filter</chapter-title>,” in Transactions on High-Performance Embedded Architectures and Compilers III, vol. Volume 3 . 2011, pp. 93–114.
[2]
A. Moshovos, G. Memik, B. Falsafi, and A. Choudhary, “ JETTY: Filtering snoops for reduced energy consumption in SMP servers,” in Proc. Int. Symp. High Perform. Comput. Archit. (HPCA), 2001, pp. 85–96.
[3]
J. Renau (2005). SESC Simulator . {Online}. Available: http://sesc.sourceforge.net
[4]
V. Salapura, M. Blumrich, and A. Gara, “ Design and implementation of the Blue Gene/P snoop filter,” in Proc. Int. Symp. High Perform. Comput. Archit. (HPCA), 2008, pp. 5–14.
[5]
V. Salapura, M. Blumrich, and A. Gara, “ Improving the accuracy of snoop filtering using stream registers,” in Proc. Workshop MEmory Perform. DEaling Appl. Syst. Archit. (MEDEA), 2007, pp. 25–32.
[6]
J. P. Singh, W. Weber, and A. Gupta, “ SPLASH: Stanford parallel applications for shared-memory,” Comput. Archit. News, vol. Volume 20, no. Issue 1, pp. 5–44, 1992.
[7]
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, “ The SPLASH-2 programs: Characterization and methodological considerations,” in Proc. 22nd Int. Symp. Comput. Archit. (ISCA), 1995, pp. 24–36.
[8]
D. H. Woo, M. Ghosh, E. Özer, S. Biles, and H.-H. S. Lee, “ Reducing energy of virtual cache synonym lookup using bloom filters,” in Proc. Int. Conf. Compil., Archit. Synthesis Embedded Syst. (CASES), 2006, pp. 179–189.
[9]
A. Moshovos, “ RegionScout: Exploiting coarse grain sharing in snoop-based coherence,” in Proc. 32nd Int. Symp. Comput. Archit. (ISCA), Jun. 2005, pp. 234–245.
[10]
J. F. Cantin, M. H. Lipasti, and J. E. Smith, “ Improving multiprocessor performance with coarse-grain coherence tracking,” in Proc. 32nd Annu. Int. Symp. Comput. Archit. (ISCA), Washington, DC, USA, Jun. 2005, pp. 246–257.
[11]
N. Agarwal, L.-S. Peh, and N. K. Jha, “ In-network coherence filtering: Snoopy coherence without broadcasts,” in Proc. 42nd Annu. IEEE/ACM Int. Symp. Microarchitecture (MICRO), New York, NY, USA, Dec. 2009, pp. 232–243.
[12]
C. Saldanha and M. Lipasti, “ Power efficient cache coherence,” in Proc. Workshop Memory Perform., Jun. 2001.

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 26, Issue 7
July 2018
204 pages

Publisher

IEEE Educational Activities Department

United States

Publication History

Published: 01 July 2018

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 0
    Total Downloads
  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media