Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Eliminating Minimum Implant Area Violations With Design Quality Preservation

Published: 01 May 2023 Publication History

Abstract

Minimum implant area (MIA) violation has emerged in the sub-micrometer technology which requires a certain amount of threshold voltage (<inline-formula> <tex-math notation="LaTeX">$V_{\text {t}}$ </tex-math></inline-formula>) area for the fabrication. Elimination of MIA violations in the sign-off layout thus becomes an inevitable task for a high-performance multiple-<inline-formula> <tex-math notation="LaTeX">$V_{\text {t}}$ </tex-math></inline-formula> design. Conventional approaches as well as the previous efforts to remove MIA violations bring severe defects to the final design in that locally moving cells or reassigning <inline-formula> <tex-math notation="LaTeX">$V_{\text {t}}\text{s}$ </tex-math></inline-formula> make the timing constraints unsatisfied or power consumption to be exploded. In this article, we propose a comprehensive MIA violation removal algorithm that fully and systematically controls the timing budget and power overhead with three sequential steps: 1) removing intra-row MIA violations by <inline-formula> <tex-math notation="LaTeX">$V_{\text {t}}$ </tex-math></inline-formula> reassignment under timing preservation and minimal power increments; 2) removing inter-row MIA violations with a theoretically optimal <inline-formula> <tex-math notation="LaTeX">$V_{\text {t}}$ </tex-math></inline-formula> reassignment while satisfying timing constraints; and 3) refining <inline-formula> <tex-math notation="LaTeX">$V_{\text {t}}$ </tex-math></inline-formula> reassignment to recover the power loss without violating both MIA constraints and timing closure. Moreover, we introduce a preprocessing algorithm at the preroute stage to remove a huge amount of MIA violations in advance for an additional runtime reduction without design quality degradation. Experiments through benchmark circuits show that our proposed approach completely resolve MIA violations while ensuring no timing violation and using 34.6&#x0025; less power overhead on average than the conventional approaches and previous works. In addition, our preprocessing step reduces 45&#x0025;&#x2013;88&#x0025; of MIA violations before the routing stage, which incurs 41&#x0025; faster MIA removal on average in the final stage with similar design quality.

References

[1]
A. Shebaita and Y. Ismail, “Multiple threshold voltage design scheme for CMOS tapered buffers,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 1, pp. 21–25, Jan. 2008.
[2]
A. B. Kahng and H. Lee, “Minimum implant area-aware gate sizing and placement,” in Proc. 24th, Ed., Great Lakes Symp. VLSI (GLSVLSI), 2014, pp. 57–62.
[3]
K. Han, A. B. Kahng, and H. Lee, “Scalable detailed placement legalization for complex sub-14 nm constraints,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design (ICCAD), Nov. 2015, pp. 867–873.
[4]
G. Flach, T. Reimann, G. Posser, M. Johann, and R. Reis, “Effective method for simultaneous gate sizing and V th assignment using Lagrangian relaxation,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 33, no. 4, pp. 546–557, Apr. 2014.
[5]
D. Mangiras and G. Dimitrakopoulos, “Incremental Lagrangian relaxation based discrete gate sizing and threshold voltage assignment,” in Proc. 10th Int. Conf. Modern Circuits Syst. Technol. (MOCAST), Jul. 2021, pp. 1–5.
[6]
S.-I. Lei, W.-K. Mak, and C. Chu, “Minimum implant area-aware placement and threshold voltage refinement,” in Proc. 21st Asia South Pacific Design Autom. Conf. (ASP-DAC), Jan. 2016, pp. 192–197.
[7]
W.-K. Mak, W.-S. Kuo, S.-H. Zhang, S.-I. Lei, and C. Chu, “Minimum implant area-aware placement and threshold voltage refinement,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 36, no. 7, pp. 1103–1112, Jul. 2017.
[8]
T. Huynh-Baoet al., “Statistical timing analysis considering device and interconnect variability for BEOL requirements in the 5-nm node and beyond,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 25, no. 5, pp. 1669–1680, May 2017.
[9]
K.-H. Tseng, Y.-W. Chang, and C. C. C. Liu, “Minimum-implant-area-aware detailed placement with spacing constraints,” in Proc. 53rd Annu. Design Autom. Conf., Jun. 2016, pp. 1–6.
[10]
J. Chen, P. Yang, X. Li, W. Zhu, and Y.-W. Chang, “Mixed-cell-height placement with complex minimum-implant-area constraints,” in Proc. Int. Conf. Comput.-Aided Design, Nov. 2018, pp. 1–8.
[11]
J. Chen, Y.-W. Chang, and Y.-Y. Wu, “Mixed-cell-height detailed placement considering complex minimum-implant-area constraints,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 40, no. 10, pp. 2128–2141, Oct. 2021.
[12]
E. Jeong, H. Park, and T. Kim, “A systematic removal of minimum implant area violations under timing constraint,” in Proc. Design, Autom. Test Eur. Conf. Exhib. (DATE), Mar. 2022, pp. 933–938.
[13]
OpenCores Benchmark Suite. Accessed: 1999. [Online]. Available: https://www.opencores.org/

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 31, Issue 5
May 2023
100 pages

Publisher

IEEE Educational Activities Department

United States

Publication History

Published: 01 May 2023

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 0
    Total Downloads
  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media