Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Statistical Timing Analysis Considering Device and Interconnect Variability for BEOL Requirements in the 5-nm Node and Beyond

Published: 01 May 2017 Publication History

Abstract

In an increasing interconnect resistance era and aggressive metal pitch scaling, the elevating RC delay could significantly shadow the improvements from advanced device architectures and become a severe design issue. This paper will holistically analyze the interplay between transistors and interconnect delay and the variability induced by back-end-of-line (BEOL) process for the 5-nm node. A global sensitivity analysis using Monte Carlo simulation is employed as a powerful tool for understanding the significance of different variation sources and propagating these process uncertainties to circuit performance and parametric yield. For the BEOL integration process, our results show that dielectric \({\kappa }\) -value is the most sensitive parameter. Regarding the patterning options, the BEOL process using self-aligned quadruple pattering with positive tone process requires more than a \(4\times \) process margin and suffers from 50% parametric yield loss. The required guardband for litho-etch litho-etch becomes as critical as for the self-aligned double patterning process when the overlay control is \(6\times \) higher than the critical dimension control. For trench patterning using spacer-defined techniques, a negative tone process is required to achieve a large process window. From a design perspective, the wire length in SoC can be optimized using a disruptive architecture as a vertical FET, which could potentially reduce the average wire length by 11%.

References

[1]
G. E. Moore, “ Cramming more components onto integrated circuits,” Electronics, vol. Volume 38, no. Issue 8, pp. 114–117, 1965.
[2]
J. M. Roberts, A. P. Kaushik, and J. S. Clarke, “ Resistivity of sub-30 nm copper lines,” in Proc. IEEE Int. Interconnect Technol. Conf. IEEE Mater. Adv. Metallization Conf. (IITC/MAM), Sep. 2015, pp. 341–344.
[3]
J. H. C. Chen, T. E. Standaert, E. Alptekin, T. A. Spooner, and V. Paruchuri, “ Interconnect performance and scaling strategy at 7 nm node,” in Proc. IEEE Int. Interconnect Technol. Conf., May 2014, pp. 93–96.
[4]
C. Adelmann et al., “ Alternative metals for advanced interconnects,” in Proc. IEEE Int. Interconnect Technol. Conf., Apr. 2014, pp. 173–176.
[5]
S. Kincal, M. C. Abraham, and K. Schuegraf, “ <inline-formula><tex-math notation=LaTeX>$RC$</tex-math></inline-formula> performance evaluation of interconnect architecture options beyond the 10-nm logic node,” IEEE Trans. Electron Devices, vol. Volume 61, no. Issue 6, pp. 1914–1919, 2014.
[6]
J. S. Chawla et al., “ Demonstration of a 12 nm-half-pitch copper ultralow-k interconnect process,” in Proc. IEEE Int. Interconnect Technol. Conf. (IITC), Sep. 2013, pp. 1–3.
[7]
K. Agarwal, M. Agarwal, D. Sylvester, and D. Blaauw, “ Statistical interconnect metrics for physical-design optimization,” IEEE Trans. Comput.-Aided Design Integr., vol. Volume 25, no. Issue 7, pp. 1273–1288, 2006.
[8]
T. B. Chan, K. Jeong, and A. B. Kahng, “ Performance and variability driven guidelines for BEOL layout decomposition with LELE double patterning,” Proc. SPIE, vol. Volume 8166, p. pp.81663O, Oct. 2011.
[9]
J. A. Hazelton et al., “ Double-patterning requirements for optical lithography and prospects for optical extension without double patterning,” J. Micro/Nanolithogr., vol. Volume 8, no. Issue 1, p. pp.11003, 2009.
[10]
T. Homma and A. Saltelli, “ Importance measures in global sensitivity analysis of nonlinear models,” Rel. Eng. Syst. Safety, vol. Volume 52, pp. 1–17, 1996.
[11]
K. Fuchs and N. F. Mott, “ The conductivity of thin metallic films according to the electron theory of metals,” Math. Proc. Cambridge Philos. Soc., vol. Volume 34 . 1938, pp. 100–108.
[12]
E. H. Sondheimer, “ The mean free path of electrons in metals,” Adv. Phys., vol. Volume 1, pp. 1–42, 1952.
[13]
A. F. Mayadas and M. Shatzkes, “ Electrical-resistivity model for polycrystalline films: The case of arbitrary reflection at external surfaces,” Phys. Rev. B, Condens. Matter, vol. Volume 1, no. Issue 4, pp. 1382–1389, 1970.
[14]
A. F. Mayadas, M. Shatzkes, and J. F. Janak, “ Electrical resistivity model for polycrystalline films: The case of specular reflection at external surfaces,” Appl. Phys. Lett., vol. Volume 14, no. Issue 11, pp. 345–347, 1969.
[15]
W. Steinhögl, G. Schindler, G. Steinlesberger, M. Traving, and M. Engelhardt, “ Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller,” J. Appl. Phys., vol. Volume 97, no. Issue 2, p. pp.23706, 2005.
[16]
S.-P. Sim, S. Krishnan, D. M. Petranovic, N. D. Arora, K. Lee, and C. Y. Yang, “ A unified RLC model for high-speed on-chip interconnects,” IEEE Trans. Electron Devices, vol. Volume 50, no. Issue 6, pp. 1501–1510, 2003.
[17]
Synopsys Raphael TCAD Data Sheet, accessed on 2015. {Online}. Available: http://www.synopsys.com/Tools/TCAD/CapsuleModule/raphael_ds.pdf
[18]
K. A. Bowman, S. G. Duvall, and J. D. Meindl, “ Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration,” IEEE J. Solid-State Circuits, vol. Volume 37, no. Issue 2, pp. 183–190, 2002.
[19]
N. N. Mojumder et al., “ Novel critical path aware transistor optimization for mobile SoC device-circuit co-design,” in Proc. Symp. VLSI Technol., Jun. 2014, vol. Volume 26 . no. Issue 11, pp. 86–87.
[20]
J. A. Davis, V. K. De, and J. D. Meindl, “ A stochastic wire-length distribution for gigascale integration (GSI). II. Applications to clock frequency, power dissipation, and chip size estimation,” IEEE Trans. Electron Devices, vol. Volume 45, no. Issue 3, pp. 590–597, 1998.
[21]
J. A. Davis, V. K. De, and J. D. Meindl, “ A stochastic wire-length distribution for gigascale integration (GSI). I. Derivation and validation,” IEEE Trans. Electron Devices, vol. Volume 45, no. Issue 3, pp. 580–589, 1998.
[22]
D. C. Sekar, A. Naeemi, R. Sarvari, J. A. Davis, and J. D. Meindl, “ IntSim: A CAD tool for optimization of multilevel interconnect networks,” in IEEE/ACM Int. Conf. Comput.-Aided Design (ICCAD) Dig. Tech. Papers, Oct. 2007, pp. 560–567.
[23]
International Technology Roadmap for Semiconductors, accessed on 2013. {Online}. Available: http://www.itrs.net/Links/2013ITRS/Summary2013.htm
[24]
T. Sakurai, “ Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs,” IEEE Trans. Electron Devices, vol. Volume 40, no. Issue 1, pp. 118–124, 1993.
[25]
W. C. Elmore, “ The transient response of damped linear networks with particular regard to wideband amplifiers,” J. Appl. Phys., vol. Volume 19, no. Issue 1, pp. 55–63, 1948.
[26]
K. J. Kuhn et al., “ Process technology variation,” IEEE Trans. Electron Devices, vol. Volume 58, no. Issue 8, pp. 2197–2208, 2011.
[27]
M. J. M. Pelgrom, A. C. J. Duinmaijer, and A. P. G. Welbers, “ Matching properties of MOS transistors,” IEEE J. Solid-State Circuits, vol. Volume 24, no. Issue 5, pp. 1433–1440, 1989.
[28]
Q. Zhang et al., “ Experimental study of gate-first FinFET threshold-voltage mismatch,” IEEE Trans. Electron Devices, vol. Volume 61, no. Issue 2, pp. 643–646, 2014.
[29]
C. H. Lin et al., “ Channel doping impact on FinFETs for 22nm and beyond,” in Symp. VLSI Technol. Dig. Tech. Papers, Jun. 2012, pp. 15–16.
[30]
J. Mazurier et al., “ On the variability in planar FDSOI technology: From MOSFETs to SRAM cells,” IEEE Trans. Electron Devices, vol. Volume 58, no. Issue 8, pp. 2326–2336, 2011.
[31]
Y. X. Liu et al., “ On the gate-stack origin threshold voltage variability in scaled FinFETs and multi-FinFETs,” in Symp. VLSI Technol. Dig. Tech. Papers, Jun. 2010, pp. 101–102.
[32]
K. Endo et al., “ Enhancing SRAM performance by advanced FinFET device and circuit technology collaboration for 14nm node and beyond,” in Proc. Symp. VLSI Technol., vol. Volume 5 . Jun. 2013, pp. 214–215.
[33]
P. R. Kinget, “ Device mismatch and tradeoffs in the design of analog circuits,” IEEE J. Solid-State Circuits, vol. Volume 40, no. Issue 6, pp. 1212–1224, 2005.
[34]
C.-M. Lim et al., “ Positive and negative tone double patterning lithography for 50nm flash memory,” Proc. SPIE, vol. Volume 6154, p. pp.615410, Mar. 2006.
[35]
T. Brunner and C. Fonseca, “ Optimum tone for various feature types: Positive versus negative,” Proc. SPIE, vol. Volume 4345, no. Issue 845, p. pp.30, 2001.
[36]
G. Landie et al., “ Fundamental investigation of negative tone development (NTD) for the 22nm node (and beyond),” Proc. SPIE, vol. Volume 7972, p. pp.797206, Oct. 2011.
[37]
M. Dusa et al., “ Pitch doubling through dual-patterning lithography challenges in integration and litho budgets,” Proc. SPIE, vol. Volume 6520, p. pp.65200G, Mar. 2007.
[38]
T. Huynh-Bao et al., “ Circuit and process co-design with vertical gate-all-around nanowire FET technology to extend CMOS scaling for 5nm and beyond technologies,” in Proc. 44th Eur. Solid State Device Res. Conf. (ESSDERC), Sep. 2014, pp. 102–105.
[39]
T. Huynh-Bao et al., “ Toward the 5nm technology: Layout optimization and performance benchmark for logic/SRAMs using lateral and vertical GAA FETs,” Proc. SPIE, vol. Volume 9781, p. pp.978102, Mar. 2016.

Cited By

View all
  • (2024)FACT: Fast and Accurate Multi-Corner Predictor for Timing Closure in Commercial EDA FlowsProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685941(1-7)Online publication date: 9-Sep-2024
  • (2024)DTOC-P: Deep-Learning-Driven Timing Optimization Using Commercial EDA Tool With Practicality EnhancementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.337011043:8(2493-2506)Online publication date: 1-Aug-2024
  • (2023)Eliminating Minimum Implant Area Violations With Design Quality PreservationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2022.322555131:5(611-621)Online publication date: 1-May-2023

Index Terms

  1. Statistical Timing Analysis Considering Device and Interconnect Variability for BEOL Requirements in the 5-nm Node and Beyond
    Index terms have been assigned to the content through auto-classification.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 25, Issue 5
    May 2017
    200 pages

    Publisher

    IEEE Educational Activities Department

    United States

    Publication History

    Published: 01 May 2017

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 03 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)FACT: Fast and Accurate Multi-Corner Predictor for Timing Closure in Commercial EDA FlowsProceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD10.1145/3670474.3685941(1-7)Online publication date: 9-Sep-2024
    • (2024)DTOC-P: Deep-Learning-Driven Timing Optimization Using Commercial EDA Tool With Practicality EnhancementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.337011043:8(2493-2506)Online publication date: 1-Aug-2024
    • (2023)Eliminating Minimum Implant Area Violations With Design Quality PreservationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2022.322555131:5(611-621)Online publication date: 1-May-2023
    • (2022)A systematic removal of minimum implant area violations under timing constraintProceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe10.5555/3539845.3540063(933-938)Online publication date: 14-Mar-2022

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media