Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3670474.3685941acmconferencesArticle/Chapter ViewAbstractPublication PagesmlcadConference Proceedingsconference-collections
research-article

FACT: Fast and Accurate Multi-Corner Predictor for Timing Closure in Commercial EDA Flows

Published: 09 September 2024 Publication History

Abstract

With technology scaling progressing well into deep nanometer region, the number of technology corners surges from dozens to hundreds. Timing closure at Engineering Change Orders (ECO) stage is becoming increasingly challenging and time-consuming. Existing methodologies mainly focus on improving efficiency by predicting the full-corner timing metrics based on known corners. However, the escalating demand of known corners essential for complete timing deductions significantly prolongs the application of these methods. In this work, we propose a framework called FACT, to fast and accurately predict full-corner timing metrics for timing closure optimization circle. Our approach simplifies the process by necessitating timing analysis under only one known corner. Moreover, our framework seamlessly integrates with commercial EDA design flows, making it practical in industrial environments. Experimental results on open-source designs indicate superior stability of our method. Additionally, our approach achieves a significant runtime speed-up over previous ML-based timing ECO flows.

References

[1]
S Umadevi and Sruthi Venkatesh. 2023. Effective timing closure using improved engineering change order techniques in soc design. Wireless Personal Communications, 133, 1, 699--724.
[2]
Leilei Jin, Jiajie Xu, Wenjie Fu, Hao Yan, Xiao Shi, Ming Ling, and Longxing Shi. 2023. A novel delay calibration method considering interaction between cells and wires. In 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1--6.
[3]
Trong Huynh-Bao, Julien Ryckaert, Zsolt Tökei, Abdelkarim Mercha, Diederik Verkest, Aaron Voon-Yew Thean, and Piet Wambacq. 2017. Statistical timing analysis considering device and interconnect variability for beol requirements in the 5-nm node and beyond. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25, 5, 1669--1680.
[4]
Jiajie Xu, Leilei Jin, Wenjie Fu, and Longxing Shi. 2024. A deep-learning-based statistical timing prediction method for sub-16nm technologies. In 2024 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1--6.
[5]
Luis Guerra e Silva, L Miguel Silveira, and Joel R Phillips. 2007. Efficient computation of the worst-delay corner. In 2007 Design, Automation & Test in Europe Conference & Exhibition. IEEE, 1--6.
[6]
Zizheng Guo, Tsung-Wei Huang, and Yibo Lin. 2023. Accelerating static timing analysis using cpu-gpu heterogeneous parallelism. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42, 12, 4973--4984.
[7]
Yuyang Ye, Tinghuan Chen, Yifei Gao, Hao Yan, Bei Yu, and Longxing Shi. 2023. Aging-aware critical path selection via graph attention networks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42, 12, 5006--5019.
[8]
Yuyang Ye, Tinghuan Chen, Yifei Gao, Hao Yan, Bei Yu, and Longxing Shi. 2024. Timing-driven technology mapping approximation based on reinforcement learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9]
Andrew B Kahng, Uday Mallappa, Lawrence Saul, and Shangyuan Tong. 2019." unobserved corner" prediction: reducing timing analysis effort for faster design convergence in advanced-node design. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 168--173.
[10]
Zhenyu Zhao, Shuzheng Zhang, Guoqiang Liu, Chaochao Feng, Tianhao Yang, Ao Han, and Lei Wang. 2022. Machine-learning-based multi-corner timing prediction for faster timing closure. Electronics, 11, 10, 1571.
[11]
Wei W Xing, Zheng Xing, Rongqi Lu, Zhelong Wang, Ning Xu, Yuanqing Cheng, and Weisheng Zhao. 2023. Total: multi-corners timing optimization based on transfer and active learning. In 2023 60th ACM/IEEE Design Automation Conference (DAC). IEEE, 1--6.
[12]
Ashish Vaswani, Noam Shazeer, Niki Parmar, Jakob Uszkoreit, Llion Jones, Aidan N Gomez, Łukasz Kaiser, and Illia Polosukhin. 2017. Attention is all you need. Advances in neural information processing systems, 30.
[13]
Daijoon Hyun, Younggwang Jung, and Youngsoon Shin. 2023. Accurate interpolation of library timing parameters through recurrent convolutional neural network. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14]
2021. Opencores. https://opencores.org/.

Index Terms

  1. FACT: Fast and Accurate Multi-Corner Predictor for Timing Closure in Commercial EDA Flows

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      MLCAD '24: Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD
      September 2024
      321 pages
      ISBN:9798400706998
      DOI:10.1145/3670474
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 09 September 2024

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Commercial EDA design flows
      2. Engineering change orders
      3. Full-corner timing metrics
      4. Timing closure

      Qualifiers

      • Research-article
      • Research
      • Refereed limited

      Funding Sources

      Conference

      MLCAD '24
      Sponsor:

      Acceptance Rates

      MLCAD '24 Paper Acceptance Rate 35 of 83 submissions, 42%;
      Overall Acceptance Rate 35 of 83 submissions, 42%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • 0
        Total Citations
      • 57
        Total Downloads
      • Downloads (Last 12 months)57
      • Downloads (Last 6 weeks)57
      Reflects downloads up to 06 Oct 2024

      Other Metrics

      Citations

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media