Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1233501.1233679acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Improvements to combinational equivalence checking

Published: 05 November 2006 Publication History

Abstract

The paper explores several ways to improve the speed and capacity of combinational equivalence checking based on Boolean satisfiability (SAT). State-of-the-art methods use simulation and BDD/SAT sweeping on the input side (i.e. proving equivalence of some internal nodes in a topological order), interleaved with attempts to run SAT on the output (i.e. proving equivalence of the output to constant 0). This paper improves on this method by (a) using more intelligent simulation, (b) using CNF-based SAT with circuit-based decision heuristics, and (c) interleaving SAT with low-effort logic synthesis. Experimental results on public and industrial benchmarks demonstrate substantial reductions in runtime, compared to the current methods. In several cases, the new solver succeeded in solving previously unsolved problems.

References

[1]
M. Abramovici, M. A. Breuer, and A. D. Friedman, Digital Systems testing and Testable Design, Computer Science Press, 1990.
[2]
Berkeley Logic Synthesis and Verification Group, ABC: A system for sequential synthesis and verification, Release 51205. http://www.eecs.berkeley.edu/~alanmi/abc/
[3]
P. Bjesse and A. Boralv, "DAG-aware circuit compression for formal verification", Proc. ICCAD '04, pp. 42--49.
[4]
D. Brand. "Verification of large synthesized designs." Proc. ICCAD '93, pp. 534--537.
[5]
R. Brayton and C. McMullen, "The decomposition and factorization of Boolean expressions," Proc. ISCAS '82, pp. 29--54.
[6]
R. Brayton, G. Hachtel, A. Sangiovanni-Vincentelli, "Multilevel logic synthesis", Proc. IEEE, Vol. 78, Feb.1990.
[7]
R. E. Bryant, "Graph-based algorithms for Boolean function manipulation," IEEE TC, Vol. C-35(8), Aug 1986, pp. 677--691.
[8]
R. E. Bryant, S. K. Lahiri, and S. A. Seshia, "Modeling and verifying systems using a logic of counter arithmetic with lambda expressions and uninterpreted functions", Proc. CAV '02, LNCS, Vol. 2404, pp. 78--92.
[9]
S. Chatterjee, A. Mishchenko, R. Brayton, X. Wang, and T. Kam, "Reducing structural bias in technology mapping", Proc. ICCAD '05, pp. 519--526. http://www.eecs.berkeley.edu/~alanmi/publications/2005/iccad05_map.pdf
[10]
CSAT: UCSB circuit SAT solver: http://cadlab.ece.ucsb.edu/downloads/CSAT.htm
[11]
A. Darringer, W. H. Joyner, Jr., C. L. Berman, L. Trevillyan, "Logic synthesis through local transformations," IBM J. of Research and Development, Vol. 25(4), 1981, pp 272--280.
[12]
N. Een, "Description of Cadence MiniSat", SAT-Race 2006. http://fmv.jku.at/sat-race-2006/
[13]
N. Een and N. Sörensson, "An extensible SAT-solver", Proc. SAT '03, pp. 502--518. http://www.cs.chalmers.se/Cs/Research/FormalMethods/MiniSat/
[14]
N. Een and N. Sörensson, "MiniSat: A SAT solver with conflict-clause minimization ". Proc. SAT '05.
[15]
N. Een and N. Sörensson, "Translating pseudo-Boolean constraints into SAT". Vol. 2 (2006), pp. 1--26. http://jsat.ewi.tudelft.nl/content/volume2/JSAT2_1_Een.pdf
[16]
C. A. J. van Eijk. "Sequential equivalence checking based on structural similarities", IEEE TCAD, Vol. 19(7), 2000, pp. 814--819.
[17]
M. K. Ganai, P. Ashar, A. Gupta, L. Zhang, and S. Malik, "Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver", Proc. DAC '02, pp. 747--750.
[18]
L. Hellerman, "A catalog of three-variable Or-Inverter and And-Inverter logical circuits", IEEE Trans. Electron. Comput., Vol. EC-12, June 1963, pp. 198--223.
[19]
H. S. Jin, M. Awedh, and F. Somenzi, "CirCUs: A Satisfiability Solver Geared towards Bounded Model Checking". Proc. CAV '04, pp. 519--522.
[20]
A. Kuehlmann, A. Srinivasan, D. P. LaPotin, "Verity - A formal verification program for custom CMOS circuits", IBM J. of Research and Development, 1995, Vol. 39(1/2), pp 149--165. http://www.research.ibm.com/journal/rd/391/kuehlmann.pdf
[21]
A. Kuehlmann, V. Paruthi, F. Krohm, and M. K. Ganai, "Robust boolean reasoning for equivalence checking and functional property verification", IEEE Trans. CAD, Vol. 21(12), 2002, pp. 1377--1394.
[22]
A. Kuehlmann, "Dynamic transition relation simplification for bounded property checking". Proc. ICCAD '04, pp. 50--57.
[23]
F. Lu, L. Wang, K. Cheng, R. Huang. "A circuit SAT solver with signal correlation guided learning". Proc. DATE '03, pp. 892--897.
[24]
F. Lu, L.-C. Wang, K.-T. Cheng, J. Moondanos and Z. Hanna, "A signal correlation guided ATPG solver and its applications for solving difficult industrial cases", Proc. DAC '03, pp. 668--673.
[25]
F. Lu and K. T. Cheng, "Sequential equivalence checking based on K-th invariants and circuit SAT solving", Proc. HLDVT Workshop '05, pp. 45--52.
[26]
Y. Matsunaga, "An efficient equivalence checker for combinational circuits", Proc. DAC '96, pp. 629--634.
[27]
A. Mishchenko, S. Chatterjee, R. Jiang, and R. K. Brayton, "FRAIGs: A unifying representation for logic synthesis and verification". ERL Technical Report, EECS Dept., UC Berkeley, March 2005. http://www.eecs.berkeley.edu/~alanmi/publications/2005/tech05_fraigs.pdf
[28]
A. Mishchenko, J. S. Zhang, S. Sinha, J. R. Burch, R. Brayton, and M. Chrzanowska-Jeske, "Using simulation and satisfiability to compute flexibilities in Boolean networks", IEEE Trans. CAD, May 2006, Vol. 25(5), pp. 743--755.
[29]
A. Mishchenko, S. Chatterjee, and R. Brayton, "DAG-aware AIG rewriting: A fresh look at combinational logic synthesis", Proc. DAC '06, pp. 532--536. http://www.eecs.berkeley.edu/~alanmi/publications/2006/dac06_rwr.pdf
[30]
A. Mishchenko, S. Chatterjee, R. Brayton, and P. Pan, "Integrating logic synthesis, technology mapping, and retiming", ERL Technical report, April 2006. http://www.eecs.berkeley.edu/~alanmi/publications/2006/tech06_int.pdf
[31]
I.-H. Moon and C. Pixley, "Non-miter-based combinational equivalence checking by comparing BDDs with different variable orders". Proc. FMCAD '04, pp. 144--158.
[32]
J. Moondanos, C.-J. H. Seger, Z. Hanna, and D. Kaiss, "CLEVER: Divide and conquer Combinational Logic Equivalence VERification with false negative elimination", Proc. CAV '01, pp. 131--143.
[33]
M. Moskewicz, C. Madigan, Y. Zhao, L. Zhang, S. Malik. "Chaff: Engineering an efficient SAT solver". Proc. DAC '01, pp. 530--535.
[34]
MVSIS Group. MVSIS: Multi-Valued Logic Synthesis System. UC Berkeley. http://www.cad.eecs.berkeley.edu/mvsis
[35]
Ya. Novikov and R. Brinkmann, "Foundations of hierarchical SAT-solving". ZIB -Report 05-38, August 2005. Konrad-Zuse-Zentrum für Informationstechnik Berlin, Germany. http://www.zib.de/Publications/Reports/ZR-05-38.pdf
[36]
E. Sentovich et al. "SIS: A system for sequential circuit synthesis." Technical Report, UCB/ERI, M92/41, ERL, Dept. of EECS, UC Berkeley, 1992.
[37]
L. G. e Silva, L. M. Silveira, and J. P. Marques-Silva, "Algorithms for solving Boolean satisfiability in combinational circuits". Proc. DATE '99, pp. 526--530.
[38]
J. P. Marques-Silva and L. G. e Silva, "Solving satisfiability in combinational circuits". IEEE Design and Test of Computers 2003, Vol. 20(4), pp. 16--21.
[39]
G. L. Smith, R. J. Bahnsen, H. Halliwell, "Boolean comparison of hardware and flowcharts". IBM J. of Research and Development, Vol. 26(1), 1982, pp. 106--116.
[40]
A. Solar-Lezama, R. Rabbah, R. Bodik, K. Ebcioglu, "Programming by sketching for bit-streaming programs", ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '05), Chicago, IL, June 2005, pp 281--294.
[41]
M. N. Velev, "Efficient translation of Boolean formulas to CNF in formal verification of microprocessors", Proc. ASP-DAC '04, January 2004, pp. 310--315.
[42]
J. S. Zhang, A. Mishchenko, R. Brayton, and M. Chrzanowska-Jeske, "Symmetry detection for large boolean functions using circuit representation, simulation, and satisfiability", Proc. DAC '06, pp. 532--536. http://www.eecs.berkeley.edu/~alanmi/publications/2006/dac06_sym.pdf
[43]
CEC benchmarks used: http://www.eecs.berkeley.edu/~alanmi/cec

Cited By

View all
  • (2025)Technology Legalization and Optimization for Adiabatic Quantum-Flux ParametronIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.343438544:1(186-199)Online publication date: Jan-2025
  • (2024)A Semi-Tensor Product based Circuit Simulation for SAT-sweeping2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546678(1-6)Online publication date: 25-Mar-2024
  • (2023)An efficient circuit-based SAT solver and its application in logic equivalence checkingMicroelectronics Journal10.1016/j.mejo.2023.106005142(106005)Online publication date: Dec-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
November 2006
147 pages
ISBN:1595933891
DOI:10.1145/1233501
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 05 November 2006

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

ICCAD06
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)46
  • Downloads (Last 6 weeks)8
Reflects downloads up to 11 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2025)Technology Legalization and Optimization for Adiabatic Quantum-Flux ParametronIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.343438544:1(186-199)Online publication date: Jan-2025
  • (2024)A Semi-Tensor Product based Circuit Simulation for SAT-sweeping2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546678(1-6)Online publication date: 25-Mar-2024
  • (2023)An efficient circuit-based SAT solver and its application in logic equivalence checkingMicroelectronics Journal10.1016/j.mejo.2023.106005142(106005)Online publication date: Dec-2023
  • (2022)A Simulation-Guided Paradigm for Logic Synthesis and VerificationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.310870441:8(2573-2586)Online publication date: Aug-2022
  • (2021)When application-specific ISA meets FPGAs: a multi-layer virtualization framework for heterogeneous cloud FPGAsProceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3445814.3446699(123-134)Online publication date: 19-Apr-2021
  • (2018)Incremental SAT Library Integration Using Abstract StobjsElectronic Proceedings in Theoretical Computer Science10.4204/EPTCS.280.4280(47-60)Online publication date: 29-Oct-2018
  • (2018)Efficient computation of ECO patch functionsProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196039(1-6)Online publication date: 24-Jun-2018
  • (2017)On compiling Boolean circuits optimized for secure multi-party computationFormal Methods in System Design10.1007/s10703-017-0300-051:2(308-331)Online publication date: 1-Nov-2017
  • (2016)Equivalence checking using Gröbner basesProceedings of the 16th Conference on Formal Methods in Computer-Aided Design10.5555/3077629.3077659(169-176)Online publication date: 3-Oct-2016
  • (2016)Equivalence checking by logic relaxationProceedings of the 16th Conference on Formal Methods in Computer-Aided Design10.5555/3077629.3077643(49-56)Online publication date: 3-Oct-2016
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media