Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/1129601.1129675acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Reducing structural bias in technology mapping

Published: 31 May 2005 Publication History

Abstract

Technology mapping based on DAG-covering suffers from the problem of structural bias: the structure of the mapped netlist depends strongly on the subject graph. In this paper we present a new mapper aimed at mitigating structural bias. It is based on a simplified cut-based Boolean matching algorithm, and using the speed afforded by this simplification we explore two ideas to reduce structural bias. The first, called lossless synthesis, leverages recent advances in structure-based combinational equivalence checking to combine the different networks seen during technology independent synthesis into a single network with choices in a scalable manner. We show how cut based mapping extends naturally to handle such networks with choices. The second idea is to combine several library gates into a single gate (called a supergate) in order to make the matching process less local. We show how supergates help address the structural bias problem, and how they fit naturally into the cut-based Boolean matching scheme. An implementation based on these ideas significantly outperforms state-of-the-art mappers in terms of delay, area and run-time on academic and industrial benchmarks.

References

[1]
{1} L. Benini, G. DeMicheli, "A survey of Boolean matching techniques for library binding," ACM TODAES, Vol. 2, No. 3, July 1997, pp. 193-226.
[2]
{2} C.-W. Chang and M. Marek-Sadowska, "Who are the alternative wires in your neighborhood? (Alternative wire identification without search)," Proc. GLSVLSI '01, pp. 103-108.
[3]
{3} J. Cong and Y. Ding, "FlowMap: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs," IEEE Trans. CAD, Vol. 13(1), 1994, pp. 1-12.
[4]
{4} J. Cong, C. Wu and Y. Ding, "Cut ranking and pruning: Enabling a general and efficient FPGA mapping solution," Proc. FPGA '99.
[5]
{5} D. Debnath and T. Sasao, "Fast Boolean matching under variable permutation using representative," Proc. ASP-DAC '99, pp. 359-362.
[6]
{6} S. Hassoun and T. Sasao, eds., Logic synthesis and verification, Kluwer 2002, Chapter 5, "Technology mapping," pp. 115-140.
[7]
{7} U. Hinsberger and R. Kolla, "Boolean matching for large libraries," Proc. DAC '98, pp. 206-211.
[8]
{8} K. Keutzer, "DAGON: Technology binding and local optimizations by DAG matching," Proc. DAC '87, pp. 617-623.
[9]
{9} V. N. Kravets and K. A. Sakallah, "Constructive library-aware synthesis using symmetries," Proc. DATE '00, pp. 208-216.
[10]
{10} A. Kuehlmann, "Dynamic transition relation simplification for bounded property checking," Proc. ICCAD '04.
[11]
{11} A. Kuehlmann, V. Paruthi, F. Krohm, and M. K. Ganai, "Robust boolean reasoning for equivalence checking and functional property verification," IEEE Trans. CAD, Vol. 21(12), 2002, pp. 1377-1394.
[12]
{12} Y. Kukimoto, R. K. Brayton, P. Sawkar, "Delay-optimal technology mapping by DAG covering," Proc. DAC '98, pp. 348-351.
[13]
{13} E. Lehman, Y. Watanabe, J. Grodstein, and H. Harkness, "Logic decomposition during technology mapping," IEEE Trans. CAD, 16(8), 1997, pp. 813-833.
[14]
{14} F. Lu, L. Wang, K. Cheng, R. Huang. "A circuit SAT solver with signal correlation guided learning," Proc. DATE '03, pp. 892-897.
[15]
{15} F. Lu, L. Wang, K. Cheng, J. Moondanos and Z. Hanna, "A signal correlation guided ATPG solver and its applications for solving difficult industrial cases," Proc. DAC '03, pp. 668-673.
[16]
{16} V. Manohararajah, S. D. Brown, Z. G. Vranesic, "Heuristics for area minimization in LUT-based FPGA technology mapping," Proc. IWLS 04.
[17]
{17} MVSIS Group. MVSIS: Multi-Valued Logic Synthesis System. U. C. Berkeley. http://www-cad.eecs.berkeley.edu/Research/mvsis/
[18]
{18} A. Mishchenko, S. Chatterjee, R. Jiang, R. Brayton, "Fraigs: A Unifying Representation for Logic Synthesis and Verification," ERL Technical Report, Dept. of EECS, U. C. Berkeley, March 2005. Online at http://www-cad.eecs.berkeley.edu/Research/mvsis/
[19]
{19} A. Mishchenko, X. Wang, T. Kam, "A new enhanced constructive decomposition and mapping algorithm," Proc. DAC '03, pp. 143-147.
[20]
{20} E. Sentovich, et al. "SIS: A system for sequential circuit synthesis," Tech. Rep. UCB/ERI, M92/41, ERL, Dept. of EECS, U. C. Berkeley, 1992.
[21]
{21} L. Stok, M. A. Iyer, A. J. Sullivan, "Wavefront technology mapping," Proc. DATE '99. pp. 531-536.
[22]
{22} Wu et al., "Efficient boolean matching algorithm for cell libraries," Proc. ICCD '94, pp. 36-39.

Cited By

View all
  • (2018)Folded Circuit SynthesisACM Transactions on Design Automation of Electronic Systems10.1145/322908223:5(1-21)Online publication date: 22-Aug-2018
  • (2013)Reverse engineering digital circuits using functional analysisProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485594(1277-1280)Online publication date: 18-Mar-2013
  • (2012)Mapping into LUT structuresProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2493093(1579-1584)Online publication date: 12-Mar-2012
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '05: Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
May 2005
1032 pages
ISBN:078039254X

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 31 May 2005

Check for updates

Qualifiers

  • Article

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 24 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2018)Folded Circuit SynthesisACM Transactions on Design Automation of Electronic Systems10.1145/322908223:5(1-21)Online publication date: 22-Aug-2018
  • (2013)Reverse engineering digital circuits using functional analysisProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485594(1277-1280)Online publication date: 18-Mar-2013
  • (2012)Mapping into LUT structuresProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2493093(1579-1584)Online publication date: 12-Mar-2012
  • (2012)On logic synthesis for timing speculationProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429512(591-596)Online publication date: 5-Nov-2012
  • (2011)Delay optimization using SOP balancingProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132420(375-382)Online publication date: 7-Nov-2011
  • (2011)A robust ECO engine by resource-constraint-aware technology mapping and incremental routing optimizationProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950896(382-387)Online publication date: 25-Jan-2011
  • (2010)Monolithically stackable hybrid FPGAProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871087(661-666)Online publication date: 8-Mar-2010
  • (2010)Global delay optimization using structural choicesProceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays10.1145/1723112.1723144(181-184)Online publication date: 21-Feb-2010
  • (2010)FPGA power reduction by guarded evaluationProceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays10.1145/1723112.1723141(157-166)Online publication date: 21-Feb-2010
  • (2010)ABCProceedings of the 22nd international conference on Computer Aided Verification10.1007/978-3-642-14295-6_5(24-40)Online publication date: 15-Jul-2010
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media