Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/307418.307559acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article
Free access

Wavefront technology mapping

Published: 01 January 1999 Publication History
First page of PDF

References

[1]
{1} F. Beeftink, P.N. Kudva, D.S. Kung, and L. Stok. Gate size selection for standard cell libraries. In Proc of the Int. Conf. on Computer Aided Design, page ??, Nov 1998.
[2]
{2} E. Detjens, R. Rudell, G. Gannot, A. Wang, and A. Sangiovanni-Vincentelli. Technology mapping in mis. In Proc of the Int. Conf on Computer Aided Design, pages 116-119, Nov 1987.
[3]
{3} J. Grodstein, E. Lehman, H. Harkness, B. Grundmann, and Y. Watanabe. A delay model for logic synthesis of continuously sized networks. In Proc of the Int. Conf. on Computer Aided Design, pages 458-462, Nov 1995.
[4]
{4} R. HitchcockSr. Timing verification and the timing analysis program. In ACM IEEE Nineteenth Design Automation Conference, pages 594-604, Las Vegas, June 1982.
[5]
{5} R. HitchcockSr., G. Smith, and D. Cheng. Timing analysis of computer hardware. IBM J. Res. Develop., 26(1), January 1982.
[6]
{6} K. Keutzer. Dagon: Technology binding and local optimization by dag matching. In Proc of the 24th Design Automation Conference, pages 341-347, June 1987.
[7]
{7} P. Kudva. Continuous optimizations in synthesis: The discretization problem. In Proc of Int. Workshop on Logic Synthesis , pages 408-419, June 1998.
[8]
{8} Y. Kukimoto, R.K. Brayton, and P. Sawkar. Delay-optimal technology mapping by dag covering. In Proceedings of the DAC 1998, pages 348-351, 1998.
[9]
{9} E. Lehman, Y. Watanabe, J. Grodstein, and H. Harkness. Logic decomposition during technology mapping. IEEE Trans on CAD, 16(8):813-834, August 1997.
[10]
{10} E. Lehman, Y. Watanabe, J. Grodstein, and H. Harkness. Logic decomposition during technology mapping. In Proc of the Int. Conf. on Computer Aided Design, pages 264-271, Nov 1995.
[11]
{11} R. Rudell. Logic synthesis for vlsi design. Technical report, University of California, Berkeley, 1989.
[12]
{12} K. Shepard and et al. Design methodology for the s/390 parallel enterprise server g4 microprocessors. IBM J. Res. Develop., 41(4/5):515-547, July/September 1997.
[13]
{13} L. Stok and et al. Booledozer logic synthesis for asics. IBM J. Res. and Develop., Vol. 40(4):407-430, July 1996.
[14]
{14} I. Sutherland and R. Sproull. The theory of logical effort: Desiging for speed on the back of an envelope. In Advanced Research in VLSI, University of California at Santa Cruz, 1991.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '99: Proceedings of the conference on Design, automation and test in Europe
January 1999
730 pages
ISBN:1581131216
DOI:10.1145/307418
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 January 1999

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

DATE99
Sponsor:

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)43
  • Downloads (Last 6 weeks)11
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)In Medio Stat Virtus*: Combining Boolean and Pattern Matching2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASP-DAC58780.2024.10473889(404-410)Online publication date: 22-Jan-2024
  • (2017)EDA3.0: Implications to Logic SynthesisAdvanced Logic Synthesis10.1007/978-3-319-67295-3_1(1-20)Online publication date: 16-Nov-2017
  • (2007)DAG based library-free technology mappingProceedings of the 17th ACM Great Lakes symposium on VLSI10.1145/1228784.1228857(293-298)Online publication date: 11-Mar-2007
  • (2007)Congestion Optimization During Technology Mapping and Logic SynthesisRouting Congestion in VLSI Circuits: Estimation and Optimization10.1007/0-387-48550-3_6(189-229)Online publication date: 2007
  • (2006)Fast Boolean Matching with Don't CaresProceedings of the 7th International Symposium on Quality Electronic Design10.1109/ISQED.2006.65(346-351)Online publication date: 27-Mar-2006
  • (2005)Reducing structural bias in technology mappingProceedings of the 2005 IEEE/ACM International conference on Computer-aided design10.5555/1129601.1129675(519-526)Online publication date: 31-May-2005
  • (2005)Fast comparisons of circuit implementationsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2005.86272713:12(1329-1339)Online publication date: 1-Dec-2005
  • (2004)Fast Comparisons of Circuit ImplementationsProceedings of the conference on Design, automation and test in Europe - Volume 210.5555/968879.969131Online publication date: 16-Feb-2004
  • (2004)Advanced technology mapping for standard-cell generatorsProceedings of the 17th symposium on Integrated circuits and system design10.1145/1016568.1016636(254-259)Online publication date: 4-Sep-2004
  • (2004)Logical effort based technology mappingProceedings of the 2004 IEEE/ACM International conference on Computer-aided design10.1109/ICCAD.2004.1382611(419-422)Online publication date: 7-Nov-2004
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media