Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1250662.1250681acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article

Express virtual channels: towards the ideal interconnection fabric

Published: 09 June 2007 Publication History

Abstract

Due to wire delay scalability and bandwidth limitations inherent in shared buses and dedicated links, packet-switched on-chip interconnection networks are fast emerging as the pervasive communication fabric to connect different processing elements in many-core chips. However, current state-of-the-art packet-switched networks rely on complex routers which increases the communication overhead and energy consumption as compared to the ideal interconnection fabric.
In this paper, we try to close the gap between the state-of-the-art packet-switched network and the ideal interconnect by proposing express virtual channels (EVCs), a novel flow control mechanism which allows packets to virtually bypass intermediate routers along their path in a completely non-speculative fashion, thereby lowering the energy/delay towards that of a dedicated wire while simultaneously approaching ideal throughput with a practical design suitable for on-chip networks.
Our evaluation results using a detailed cycle-accurate simulator on a range of synthetic traffic and SPLASH benchmark traces show upto 84% reduction in packet latency and upto 23% improvement in throughput while reducing the average router energy consumption by upto 38% over an existing state-of-the-art packet-switched design. When compared to the ideal interconnect, EVCs add just two cycles to the no-load latency, and are within 14% of the ideal throughput. Moreover, we show that the proposed design incurs a minimal hardware overhead while exhibiting excellent scalability with increasing network sizes.

References

[1]
"International Technology Roadmap for Semiconductors," http://public.itrs.net.
[2]
R. Ho, K. Mai, and M. Horowitz, "The future of wires," Proc. IEEE, vol. 89, no. 4, Apr. 2001.
[3]
K. Sankaralingam et al., "Exploiting ILP, TLP, and DLP with the polymorphous TRIPS architecture," in Proc. Int. Symp. Computer Architecture, June 2003, pp. 422--433.
[4]
M. B. Taylor et al., "Evaluation of the Raw microprocessor: An exposed-wire-delay architecture for ILP and streams," in Proc. Int. Symp. Computer Architecture, June 2004.
[5]
L. Benini and G. De Micheli, "Networks on chips: A new SoC paradigm," IEEE Computer, vol. 35, no. 1, pp. 70--78, Jan. 2002.
[6]
W. J. Dally and B. Towles, "Route packets not wires: On-chip interconnection networks," in Proc. Design Automation Conf., June 2001.
[7]
J. A. Kahle et al., "Introduction to the Cell multiprocessor," IBM Journal of Research and Development, vol. 49, no. 4/5, 2005.
[8]
M. Sgroi et al., "Addressing the system-on-a-chip interconnection woes through communication-based design," in Proc. Design Automation Conf., June 2001.
[9]
W. J. Dally, "Virtual-channel flow control," in Proc. Int. Symp. Computer Architecture, May 1990, pp. 60--68.
[10]
W. J. Dally and B. Towles, Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers, 2004.
[11]
W. J. Dally, "Express cubes: Improving the performance of k-ary n-cube interconnection networks," IEEE Trans. on Computers, vol. 40, no. 9, Sept. 1991.
[12]
R. Mullins, A. West, and S. Moore, "Low-latency virtual-channel routers for on-chip networks," in Proc. Int. Symp. Computer Architecture, June 2004, pp. 188--197.
[13]
L.-S. Peh and W. J. Dally, "A delay model and speculative architecture for pipelined routers," in Proc. Int. Symp. High Performance Computer Architecture, Jan. 2001, pp. 255--266.
[14]
"SPLASH-2," http://www-ash.stanford.edu/apps/SPLASH/.
[15]
M. Galles, "Scalable pipelined interconnect for distributed endpoint routing: The SGI SPIDER chip." in Proc. Hot Interconnects 4, Aug. 1996, pp. 141--146.
[16]
S. S. Mukherjee, et al., "The Alpha 21364 network architecture," IEEE Micro, vol. 22, no. 1, pp. 26--35, Jan./Feb. 2002.
[17]
H.-S. Wang, L.-S. Peh, and S. Malik, "Power-driven design of router microarchitectures in on-chip networks," in Proc. Int. Symp. Microarchitecture, Nov. 2003, pp. 105--116.
[18]
W. Liao and L. He, "Full-chip interconnect power estimation and simulation considering repeater insertion and flip-flop insertion," in Proc. Int. Conf. Computer-Aided Design, Nov. 2003, pp. 574--580.
[19]
H.-S. Wang, et al., "Orion: A power-performance simulator for interconnection networks," in Proc. Int. Symp. Microarchitecture, Nov. 2002, pp. 294--305.
[20]
X.-N. Chen and L.-S. Peh, "Leakage power modeling and optimization of interconnection networks," in Proc. Int. Symp. Low Power Electronics and Design, Aug. 2003, pp. 90--95.
[21]
K. P. Lawton, "Bochs: A portable PC emulator for Unix/X," Linux J., vol. 1996, no. 29, p. 7, 1996.
[22]
J. Kim, et al., "Microarchitecture of a high-radix router," in Proc. Int. Symp. Computer Architecture, June 2006, pp. 420--431.
[23]
J. Hu and R. Marculescu, "DyAD-Smart routing for networks-on-chip," in Proc. Design Automation Conf., June 2004.
[24]
D. Seo, et al., "Near-optimal worst-case throughput routing for two-dimensional mesh networks," in Proc. Int. Symp. Computer Architecture, June 2005.
[25]
U. Y. Ogras and R. Marculescu, "It's a small world after all: NoC performance optimization via long-range link insertion," IEEE Trans. Very Large Scale Integration Systems, vol. 14, no. 7, pp. 693--706, July 2006.
[26]
J. Duato, et al., "A high performance router architecture for interconnection networks," in Proc. Int. Conf. Parallel Processing, Aug. 1996, pp. 61--68.
[27]
P. T. Gaughan and S. Yalamanchili, "A family of fault-tolerant routing protocols for direct multiprocessor networks," IEEE Trans. Parallel and Distributed Systems, vol. 6, no. 5, May 1995.
[28]
L.-S. Peh and W. J. Dally, "Flit-reservation flow control," in Proc. Int. Symp. High Performance Computer Architecture, Jan. 2000, pp. 73--84.
[29]
J. Kim, et al., "A gracefully degrading and energy-efficient modular router architecture for on-chip networks," in Proc. Int. Symp. Computer Architecture, June 2006, pp. 4--15.

Cited By

View all
  • (2023)Communication Latency and Power Consumption Consequence in Multi-Core Architectures and Improvement MethodsInternational Journal of Electrical and Electronics Research10.37391/ijeer.11013011:1(222-227)Online publication date: 30-Mar-2023
  • (2023)ARIES: Accelerating Distributed Training in Chiplet-Based Systems via Flexible Interconnects2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323955(1-9)Online publication date: 28-Oct-2023
  • (2022)Agile: A Learning-Enabled Power and Performance-Efficient Network-on-Chip DesignIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.300349610:1(223-236)Online publication date: 1-Jan-2022
  • Show More Cited By

Index Terms

  1. Express virtual channels: towards the ideal interconnection fabric

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture
    June 2007
    542 pages
    ISBN:9781595937063
    DOI:10.1145/1250662
    • General Chair:
    • Dean Tullsen,
    • Program Chair:
    • Brad Calder
    • cover image ACM SIGARCH Computer Architecture News
      ACM SIGARCH Computer Architecture News  Volume 35, Issue 2
      May 2007
      527 pages
      ISSN:0163-5964
      DOI:10.1145/1273440
      Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 09 June 2007

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. flow-control
    2. packet-switching
    3. router design

    Qualifiers

    • Article

    Conference

    SPAA07
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 543 of 3,203 submissions, 17%

    Upcoming Conference

    ISCA '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)58
    • Downloads (Last 6 weeks)10
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Communication Latency and Power Consumption Consequence in Multi-Core Architectures and Improvement MethodsInternational Journal of Electrical and Electronics Research10.37391/ijeer.11013011:1(222-227)Online publication date: 30-Mar-2023
    • (2023)ARIES: Accelerating Distributed Training in Chiplet-Based Systems via Flexible Interconnects2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323955(1-9)Online publication date: 28-Oct-2023
    • (2022)Agile: A Learning-Enabled Power and Performance-Efficient Network-on-Chip DesignIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.300349610:1(223-236)Online publication date: 1-Jan-2022
    • (2022)A Compute-in-Memory Hardware Accelerator Design With Back-End-of-Line (BEOL) Transistor Based Reconfigurable InterconnectIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2022.317757712:2(445-457)Online publication date: Jun-2022
    • (2022)Stay in your Lane: A NoC with Low-overhead Multi-packet Bypassing2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA53966.2022.00074(957-970)Online publication date: Apr-2022
    • (2022)Enabling circuit-switching in modern on-chip networksMicroprocessors and Microsystems10.1016/j.micpro.2022.10471295(104712)Online publication date: Nov-2022
    • (2022)A router architecture with dual input and dual output channels for Networks-on-ChipMicroprocessors & Microsystems10.1016/j.micpro.2022.10446490:COnline publication date: 1-Apr-2022
    • (2021)PIugSMARTProceedings of the 15th IEEE/ACM International Symposium on Networks-on-Chip10.1145/3479876.3481601(41-48)Online publication date: 14-Oct-2021
    • (2021)ALPHA: A Learning-Enabled High-Performance Network-on-Chip Router Design for Heterogeneous Manycore ArchitecturesIEEE Transactions on Sustainable Computing10.1109/TSUSC.2020.29813406:2(274-288)Online publication date: 1-Apr-2021
    • (2021)S-SMART++: A Low-Latency NoC Leveraging Speculative Bypass RequestsIEEE Transactions on Computers10.1109/TC.2021.306861570:6(819-832)Online publication date: 1-Jun-2021
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media