Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1283780.1283828acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Approximation algorithms for power minimization of earliest deadline first and rate monotonic schedules

Published: 27 August 2007 Publication History

Abstract

We address power minimization of earliest deadline first and rate monotonic schedules by voltage and frequency scaling. We prove that the problems are NP-hard, and present (1+∈) fully polynomial time approximation techniques that generate solutions which are guaranteed to be within a specified quality bound (QB= ∈) (say within 1% of the optimal). We demonstrate that our techniques can match optimal solutions when QB is set at 1%, out perform existing approaches [1] even when QB is set at 10%, generate solutions that are quite close to optimal (< 5%) even when QB is set at higher values (25%), and execute in a fraction of a second (with QB > 5%) for large 100 node task sets.

References

[1]
N. K. Jha. Low power system scheduling and synthesis. In Proceedings of ICCAD, 2001.
[2]
L. Benini and G. De Micheli. A survey of design techniques for system-level dynamic power management. IEEE Transactions on VLSI Systems, 2000.
[3]
C.L. Liu and J. W. Layland. Scheduling algorithms for multiprogramming in hard-real-time environment. Journal of ACM, 20(1), January 1973.
[4]
P. Mejia-Alvarez, E. Levner, and D. Mosse. Adaptive scheduling server for power aware real-time tasks. ACM TECS, 3(2):284--306, May 2004.
[5]
F. Yao, A. Demers, and S. Shenker. A scheduling model for reduced cpu energy. In IEEE Annual Foundations of Comp. Sci., 1995.
[6]
T. Ishihara and H. Yasuura. Voltage scheduling problem for dynamic variable voltage processors. In Proceedings of ISLPED, 1998.
[7]
Y. Shin, K. Choi, and T. Sakura. Power opimization of real-time embedded systems on variable speed processors. In Proceedings of ICCAD, 2000.
[8]
P. Pillai and K. G. Shin. Real-time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of ACM Symposium on Operating Systems Principles, 2001.
[9]
H. Aydin, R. Melhem, D. Mosse, and P. M. Alvarez. Dynamic and aggressive scheduling techniques for power aware real-time systems. In Proceedings of the IEEE Real-Time Systems Symposium, 2001.
[10]
L. Yan, J. Luo, and N. K. Jha. Combined dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. In Proceedings of ICCAD, 2003.
[11]
S. Irani, S. Shukla, and R. Gupta. Algorithms for power savings. In Proceedings of the 14th Symposium on Discrete Algorithms, 2003.
[12]
R. Jejurikar, C. Pereira, and R. Guptar. Leakage aware dynamic voltage scaling for real-time embedded systems. In Proceedings of DAC, 2004.
[13]
Y. Shin, K. Choi, and T. Sakura. Dynamic voltage and frequency scaling under a precise energy model considering variable and fixed components of the system power dissipation. In Proceedings of ICCAD, 2004.
[14]
B. Mochocki, X. S. Hu, and G. Quan. A unified approach to variable scheduling for nonideal dvs processors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23(9), September 2004.
[15]
F. Xie, M. Martonosi, and S. Malik. Bounds on power savings using runtime dynamic voltage scaling: An exact algorithm and a linear-time heuristic approximation. In Proceedings of ISLPED, 2005.
[16]
J. Chen, T. Kuo, and C. Shih. (1+∈) approximation clock rate assignment for periodic real-time tasks on a voltag-scaling processor. In Proceedings of EMSOFT, 2005.
[17]
X. Zhong and C. Xu. System-wide energy minimization for real-time tasks: Lower bound and approximation. In Proceedings of ICCAD, 2006.
[18]
P. Yang and F. Catthoor. Pareto-optimization-based run-time task scheduling for embedded systems. In Proceedings of CODES+ISSS, 2003.
[19]
W. Kim, J. Kim, and S. L. Min. A dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis. In Proceedings of DATE, 2002.
[20]
C. M. Krishna and Y. H. Lee. Voltage-clock-scaling adaptive scheduling techniques for low power in hard real-time systems. IEEE Transactions on Computers, 52(12), December 2003.
[21]
Y. Zhu and F. Mueller. Feedback edf scheduling exploiting dynamic voltage scaling. In Proceedings of RTAS, 2004.
[22]
J. Zhuo and C. Chakrabarti. System-level energy-efficient dynamic task scheduling. In Proceedings of DAC, 2005.
[23]
B. Mochocki, X. S. Hu, and G. Quan. Practical on-line dvs scheduling for fixed-priority real-time systems. In Proceedings of RTAS, 2005.
[24]
V. Swaminathan and K. Chakrabarty. Network flow techniques for dynamic voltage scaling in hard real-time systems. IEEE Trans. on CAD of Integrated Circuits and Systems, 2004.
[25]
Vijay V. Vazirani. Approximation Algorithms. Springer-Verlag, 2001.
[26]
H. Kellerer, U. Pferschy, and D. Pisinger. Knapsack Problems. Springer-Verlag, 2004.
[27]
A. K. Chandra, D. S. Hirschberg, and C. K. Wong. Approximation algorithms for some generalized knapsack problems. Theoretical Computer Science, (3):293--304, 1976.
[28]
E. L. Lawler. Fast approximation algorithms for knapsack problems. Mathematics of Operations Research, (4):339--356, 1979.
[29]
K. Niyogi and D. Marculescu. Speed and voltage selection for gals systems based on voltage/frequency islands. In Proceedings of ASPDAC, 2005.
[30]
A. Sinha and A. P. Chandrakasan. Jouletrack-a web based tool for software energy profiling. In Proceedings of DAC, 2001.

Cited By

View all
  • (2015)Energy Consumption Analysis Satisfying Time–Energy–Probability Constraints for Modern DVFS MicroprocessorIETE Technical Review10.1080/02564602.2015.101061332:4(260-272)Online publication date: 26-Feb-2015
  • (2014)Reachability Analysis of Cost-Reward Timed Automata for Energy Efficiency SchedulingProceedings of Programming Models and Applications on Multicores and Manycores10.1145/2578948.2560695(140-148)Online publication date: 7-Feb-2014
  • (2014)Reachability Analysis of Cost-Reward Timed Automata for Energy Efficiency SchedulingProceedings of Programming Models and Applications on Multicores and Manycores10.1145/2560683.2560695(140-148)Online publication date: 7-Feb-2014
  • Show More Cited By

Index Terms

  1. Approximation algorithms for power minimization of earliest deadline first and rate monotonic schedules

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISLPED '07: Proceedings of the 2007 international symposium on Low power electronics and design
      August 2007
      432 pages
      ISBN:9781595937094
      DOI:10.1145/1283780
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 27 August 2007

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. earliest deadline first
      2. low power design
      3. rate monotonic

      Qualifiers

      • Article

      Conference

      ISLPED07
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 398 of 1,159 submissions, 34%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)3
      Reflects downloads up to 06 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2015)Energy Consumption Analysis Satisfying Time–Energy–Probability Constraints for Modern DVFS MicroprocessorIETE Technical Review10.1080/02564602.2015.101061332:4(260-272)Online publication date: 26-Feb-2015
      • (2014)Reachability Analysis of Cost-Reward Timed Automata for Energy Efficiency SchedulingProceedings of Programming Models and Applications on Multicores and Manycores10.1145/2578948.2560695(140-148)Online publication date: 7-Feb-2014
      • (2014)Reachability Analysis of Cost-Reward Timed Automata for Energy Efficiency SchedulingProceedings of Programming Models and Applications on Multicores and Manycores10.1145/2560683.2560695(140-148)Online publication date: 7-Feb-2014
      • (2013)Framework for simulation of heterogeneous MpSoC for design space explorationVLSI Design10.1155/2013/9361812013(11-11)Online publication date: 1-Jan-2013
      • (2013)Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systemsProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488875(1-7)Online publication date: 29-May-2013
      • (2013)A heuristic energy-aware approach for hard real-time systems on multi-core platformsMicroprocessors & Microsystems10.1016/j.micpro.2013.04.00737:8(858-870)Online publication date: 1-Nov-2013
      • (2012)Energy- and performance-aware scheduling of tasks on parallel and distributed systemsACM Journal on Emerging Technologies in Computing Systems10.1145/2367736.23677438:4(1-37)Online publication date: 30-Nov-2012
      • (2012)Dynamic Cache Reconfiguration for Soft Real-Time SystemsACM Transactions on Embedded Computing Systems10.1145/2220336.222034011:2(1-31)Online publication date: 1-Jul-2012
      • (2012)System-Wide Leakage-Aware Energy Minimization Using Dynamic Voltage Scaling and Cache Reconfiguration in Multitasking SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.211681420:5(902-910)Online publication date: May-2012
      • (2012)TCECIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2012.219082431:8(1159-1168)Online publication date: 1-Aug-2012
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media