Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1289816.1289877acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Predator: a predictable SDRAM memory controller

Published: 30 September 2007 Publication History

Abstract

Memory requirements of intellectual property components (IP) in contemporary multi-processor systems-on-chip are increasing. Large high-speed external memories, such as DDR2 SDRAMs, are shared between a multitude of IPs to satisfy these requirements at a low cost per bit. However, SDRAMs have highly variable access times that depend on previous requests. This makes it difficult to accurately and analytically determine latencies and the useful bandwidth at design time, and hence to guarantee that hard real-time requirements are met.
The main contribution of this paper is a memory controller design that provides a guaranteed minimum bandwidth and a maximum latency bound to the IPs. This is accomplished using a novel two-step approach to predictable SDRAM sharing. First, we define memory access groups, corresponding to precomputed sequences of SDRAM commands, with known efficiency and latency. Second, a predictable arbiter is used to schedule these groups dynamically at run-time, such that an allocated bandwidth and a maximum latency bound is guaranteed to the IPs. The approach is general and covers all generations of SDRAM. We present a modular implementation of our memory controller that is efficientlyintegrated into the network interface of a network-on-chip. The area of the implementation is cheap, and scales linearly with the number of IPs. An instance with six ports runs at 200 MHz and requires 0.042mm2 in 0.13μm CMOS technology.

References

[1]
B. Akesson et al. Real-Time Scheduling of Hybrid Systems using Credit-Controlled Static-Priority Arbitration . Technical report, NXP Semiconductors, 2007. NXP-R-TN 2007/00119.
[2]
A. Burchard et al. A real-time streaming memory controller. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2005.
[3]
R. Cruz. A calculus for network delay. I. Network elements in isolation. IEEE Trans. on Info. Theory, 37(1), 1991.
[4]
S. Dutta et al. Viper: A multiprocessor SOC for advanced set-top box and digital TV systems. IEEE Design and Test of Computers, 2001.
[5]
K. Goossens et al. Interconnect and memory organization in SOCs for advanced set-top boxes and TV ? Evolution, analysis, and trends. In Interconnect-Centric Design for Advanced SoC and NoC. Kluwer, 2004.
[6]
K. Goossens et al. The Aethereal network on chip: Concepts, architectures, and implementations. IEEE Design and Test of Computers, 22(5), 2005.
[7]
A. Hansson and K. Goossens. Trade-offs in the configuration of a network on chip for multiple use-cases. In The 1st ACM/IEEE International Symposium on Networks-on-Chip, 2007.
[8]
A. Hansson et al. Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2007.
[9]
S. Heithecker and R. Ernst. Traffic shaping for an FPGA based SDRAM controller with complex QoS requirements. In DAC '05: Proceedings of the 42nd annual conference on Design automation, 2005.
[10]
JEDEC Solid State Technology Association. DDR2 SDRAM Specification, JESD79-2C edition, May 2006.
[11]
T.-C. Lin et al. Quality-aware memory controller for multimedia platform SoC. In IEEE Workshop on Signal Processing Systems, SIPS 2003, 2003.
[12]
C. Macian et al. Beyond performance: Secure and fair memory management for multiple systems on a chip. In IEEE International Conference on Field-Programmable Technology (FPT), 2003.
[13]
S. Rixner et al. Memory access scheduling. In ISCA '00: Proceedings of the 27th annual international symposium on Computer architecture, 2000.
[14]
A. Radulescu et al. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network programming. IEEE Trans. on CAD of Int. Circ. and Syst., 24(1), 2005.
[15]
F. Steenhof, et al. Networks on chips for high-end consumer-electronics TV system architectures. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2006.
[16]
D. Stiliadis and A. Varma. Latency-rate servers: a general model for analysis of traffic scheduling algorithms. IEEE/ACM Trans. Netw., 6(5), 1998.
[17]
J.-W. van de Waerdt et al. The TM3270 media-processor. In MICRO 38: Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, 2005.
[18]
W.-D. Weber. Efficient Shared DRAM Subsystems for SOCs. Sonics, Inc, 2001. White paper.
[19]
L. Woltjer. Optimal DDR controller. Master's thesis, University of Twente, Jan. 2005.
[20]
H. Zhang. Service disciplines for guaranteed performance service in packet-switching networks. Proceedings of the IEEE, 83(10), 1995.

Cited By

View all
  • (2024)MemPol: polling-based microsecond-scale per-core memory bandwidth regulationReal-Time Systems10.1007/s11241-024-09422-8Online publication date: 17-Jun-2024
  • (2023)Bounding Memory Access Times in Multi-Accelerator Architectures on FPGA SoCsIEEE Transactions on Computers10.1109/TC.2022.321411772:1(154-167)Online publication date: 1-Jan-2023
  • (2023)Analyzing Arm's MPAM From the Perspective of Time PredictabilityIEEE Transactions on Computers10.1109/TC.2022.320272072:1(168-182)Online publication date: 1-Jan-2023
  • Show More Cited By

Index Terms

  1. Predator: a predictable SDRAM memory controller

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CODES+ISSS '07: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
    September 2007
    284 pages
    ISBN:9781595938244
    DOI:10.1145/1289816
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 30 September 2007

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. SDRAM
    2. memory controller
    3. predictability
    4. system-on-chip

    Qualifiers

    • Article

    Conference

    ESWEEK07
    ESWEEK07: Third Embedded Systems Week
    September 30 - October 3, 2007
    Salzburg, Austria

    Acceptance Rates

    Overall Acceptance Rate 280 of 864 submissions, 32%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)51
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 16 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)MemPol: polling-based microsecond-scale per-core memory bandwidth regulationReal-Time Systems10.1007/s11241-024-09422-8Online publication date: 17-Jun-2024
    • (2023)Bounding Memory Access Times in Multi-Accelerator Architectures on FPGA SoCsIEEE Transactions on Computers10.1109/TC.2022.321411772:1(154-167)Online publication date: 1-Jan-2023
    • (2023)Analyzing Arm's MPAM From the Perspective of Time PredictabilityIEEE Transactions on Computers10.1109/TC.2022.320272072:1(168-182)Online publication date: 1-Jan-2023
    • (2023)Improving Timing-Related Guarantees for Main Memory in Multicore Critical Embedded Systems2023 IEEE Real-Time Systems Symposium (RTSS)10.1109/RTSS59052.2023.00031(265-278)Online publication date: 5-Dec-2023
    • (2023)MemPol: Policing Core Memory Bandwidth from Outside of the Cores2023 IEEE 29th Real-Time and Embedded Technology and Applications Symposium (RTAS)10.1109/RTAS58335.2023.00026(235-248)Online publication date: May-2023
    • (2023)Optimization of the Versatile Tensor Accelerator (VTA) Load Module in a Time-Triggered Memory Access2023 26th Euromicro Conference on Digital System Design (DSD)10.1109/DSD60849.2023.00030(146-152)Online publication date: 6-Sep-2023
    • (2022)Sim-D: A SIMD Accelerator for Hard Real-Time SystemsIEEE Transactions on Computers10.1109/TC.2021.306429071:4(851-865)Online publication date: 1-Apr-2022
    • (2022)Memory Utilization-Based Dynamic Bandwidth Regulation for Temporal Isolation in Multi-Cores2022 IEEE 28th Real-Time and Embedded Technology and Applications Symposium (RTAS)10.1109/RTAS54340.2022.00019(133-145)Online publication date: May-2022
    • (2022)Analysis of Various Vulnerabilities in the Raspbian Operating System and Solutions2022 IEEE World AI IoT Congress (AIIoT)10.1109/AIIoT54504.2022.9817202(01-06)Online publication date: 6-Jun-2022
    • (2022)Profile-driven memory bandwidth management for accelerators and CPUs in QoS-enabled platformsReal-Time Systems10.1007/s11241-022-09382-x58:3(235-274)Online publication date: 26-Apr-2022
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media