Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1531542.1531658acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Reliability aware NoC router architecture using input channel buffer sharing

Published: 10 May 2009 Publication History

Abstract

To address the increasing demand for reliability in on-chip networks, we proposed a novel Reliability Aware Virtual channel (RAVC) NoC router micro-architecture that enables both dynamic virtual channel allocations and the rational sharing among the buffers of different input channels. In particular, in the case of failure in routers, the virtual channels of routers surrounding the faulty routers can be totally recaptured and reassigned to other input ports. Moreover, our proposed RAVC router isolates the faulty router from occupying network bandwidth. Experimental result shows that proposed micro-architecture provides 7.1% and 3.1 % average latency decrease under uniform and transpose traffic pattern. Considering the existence of failures in routers of on-chip network, RAVC provides 28% and 16% decrease in the average packet latency under the uniform and transpose traffic pattern respectively.

References

[1]
L. Benini and G. D. Micheli, "Networks on Chips: A New SoC Paradigm," IEEE Computer, vol. 35, pp. 70--78, 2002.
[2]
S. Li, L. S. Peh, and N. K. Jha, "Dynamic voltage scaling with links for power optimization of interconnection networks," in Proceedings of the 9th International Symposium on High-Performance Computer Architecture (HPCA), pp. 91--102, 2003.
[3]
W. Hangsheng, L. S. Peh, and S. Malik, "Power-driven design of router microarchitectures in on-chip networks," in Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 105--116, 2003.
[4]
M. Rezazad and H. Sarbazi-azad, "The effect of virtual channel organization on the performance of interconnection networks, in Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium, 2005.
[5]
T. T. Ye, L. Benini, and G. De Micheli, "Analysis of power consumption on switch fabrics in network routers," in Proceedings of the 39th Design Automation Conference (DAC), pp. 524--529, 2002.
[6]
H. Jingcao and R. Marculescu, "Application-specific buffer space allocation for networks-on-chip router design," in Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 354--361, 2004.
[7]
G. L. Frazier and Y. Tamir, "The design and implementation of a multiqueue buffer for VLSI communication switches," in Proceedings of the IEEE International Conference on Computer Design (ICCD), pp. 466--471, 1989.
[8]
L. S. Peh and W. J. Dally, "A delay model for router microarchitectures," IEEE Micro, vol. 21, pp. 26--34, 2001.
[9]
Y. M. Boura and C. R. Das, "Performance analysis of buffering schemes in wormhole routers," IEEE Transactions on Computers, vol. 46, pp. 687--694, 1997
[10]
W. J. Dally, "Virtual-channel flow control," in Proceedings of the 17th Annual International Symposium on Computer Architecture (ISCA), pp. 60--68, 1990.
[11]
M. Lai, Z. Wang, L. Gao, H. Lu, K. Dai, "A Dynamically-Allocated Virtual Channel Architecture with Congestion Awareness for On-Chip Routers," in Proceedings of the 46th Design Automation Conference (DAC), pp. 630--633, 2008.
[12]
A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, S. Yousif, R. Das, "ViChaR: A Dynamic Virtual Channel Regulator for Network-on-chip Router, 39th Micro, 2006.
[13]
J. Kim, C. Nicopoulos, D. Park, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, "A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks," in Proc. of the ISCA, 2006.
[14]
L. S. Peh and W. J. Dally, "A delay model and speculative architecture for pipelined routers," in Proceedings of the 7th International Symposium on High Performance Computer Architecture (HPCA), pp. 255--266, 2001.

Cited By

View all
  • (2020)Design of a Virtual Channel Router Architecture for Low Power on Mesh-of-Grid Topology for Network on ChipApplied Soft Computing and Communication Networks10.1007/978-981-15-3852-0_5(63-79)Online publication date: 1-May-2020
  • (2018)Buffers Sharing Switch Design Exploiting Dynamic Traffic Orientation in a NoC2018 15th International Multi-Conference on Systems, Signals & Devices (SSD)10.1109/SSD.2018.8570366(1032-1036)Online publication date: Mar-2018
  • (2016)A Survey on Design Approaches to Circumvent Permanent Faults in Networks-on-ChipACM Computing Surveys10.1145/288678148:4(1-36)Online publication date: 18-Mar-2016
  • Show More Cited By

Index Terms

  1. Reliability aware NoC router architecture using input channel buffer sharing

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      GLSVLSI '09: Proceedings of the 19th ACM Great Lakes symposium on VLSI
      May 2009
      558 pages
      ISBN:9781605585222
      DOI:10.1145/1531542
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 10 May 2009

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. network on chip
      2. system on chip
      3. virtual channel

      Qualifiers

      • Research-article

      Conference

      GLSVLSI '09
      Sponsor:
      GLSVLSI '09: Great Lakes Symposium on VLSI 2009
      May 10 - 12, 2009
      MA, Boston Area, USA

      Acceptance Rates

      Overall Acceptance Rate 312 of 1,156 submissions, 27%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)2
      • Downloads (Last 6 weeks)2
      Reflects downloads up to 04 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2020)Design of a Virtual Channel Router Architecture for Low Power on Mesh-of-Grid Topology for Network on ChipApplied Soft Computing and Communication Networks10.1007/978-981-15-3852-0_5(63-79)Online publication date: 1-May-2020
      • (2018)Buffers Sharing Switch Design Exploiting Dynamic Traffic Orientation in a NoC2018 15th International Multi-Conference on Systems, Signals & Devices (SSD)10.1109/SSD.2018.8570366(1032-1036)Online publication date: Mar-2018
      • (2016)A Survey on Design Approaches to Circumvent Permanent Faults in Networks-on-ChipACM Computing Surveys10.1145/288678148:4(1-36)Online publication date: 18-Mar-2016
      • (2016)Virtual channel router architecture for Network on Chip with adaptive inter-port buffers sharing2016 13th International Multi-Conference on Systems, Signals & Devices (SSD)10.1109/SSD.2016.7473771(691-694)Online publication date: Mar-2016
      • (2016)Design of efficient NOC router for chip multiprocessor2016 International Conference on Inventive Computation Technologies (ICICT)10.1109/INVENTIVE.2016.7830129(1-4)Online publication date: Aug-2016
      • (2016)Performance and energy evaluation of network-on-chip infrastructure2016 International Conference on Inventive Computation Technologies (ICICT)10.1109/INVENTIVE.2016.7830115(1-5)Online publication date: Aug-2016
      • (2016)A novel architecture of bidirectional NoC router using flexible buffer2016 International Conference on Emerging Technological Trends (ICETT)10.1109/ICETT.2016.7873658(1-6)Online publication date: Oct-2016
      • (2015)ElastiStore: Flexible Elastic Buffering for Virtual-Channel-Based Networks on ChipIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.238344223:12(3015-3028)Online publication date: Dec-2015
      • (2015)Heterogeneous NoC Router ArchitectureIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2014.235181626:9(2479-2492)Online publication date: 1-Sep-2015
      • (2015)Analysis of ring topology for NoC architecture2015 International Conference on Computing and Network Communications (CoCoNet)10.1109/CoCoNet.2015.7411214(381-388)Online publication date: Dec-2015
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media