Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1669112.1669132acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

EazyHTM: eager-lazy hardware transactional memory

Published: 12 December 2009 Publication History
  • Get Citation Alerts
  • Abstract

    Transactional Memory aims to provide a programming model that makes parallel programming easier. Hardware implementations of transactional memory (HTM) suffer from fewer overheads than implementations in software, and refinements in conflict management strategies for HTM allow for even larger improvements. In particular, lazy conflict management has been shown to deliver better performance, but it has hitherto required complex protocols and implementations.
    In this paper we show a new scalable HTM architecture that performs comparably to the state-of-the-art and can be implemented by minor modifications to the MESI protocol rather than re-engineering it from the ground up. Our approach detects conflicts eagerly while a transaction is running, but defers the resolution lazily until commit time. We evaluate this EAger-laZY system, EazyHTM, by comparing it with the Scalable-TCC-like approach and a system employing ideal lazy conflict management with a zero-cycle transaction validation and fully-parallel commits. We show that EazyHTM performs on average 7% faster than Scalable-TCC. In addition, EazyHTM has fast commits and aborts, can commit in parallel even if there is only one directory present, and does not suffer from cascading waits.

    References

    [1]
    C. Scott Ananian, Krste Asanović, Bradley C. Kuszmaul, Charles E. Leiserson, and Sean Lie. Unbounded transactional memory. In HPCA '05: Proc. 11th International Symposium on High-Performance Computer Architecture, pages 316--327, February 2005.
    [2]
    Nathan Binkert, Ronald Dreslinski, Lisa Hsu, Kevin Lim, Ali Saidi, and Steven Reinhardt. The M5 simulator: Modeling networked systems. IEEE Micro, 26(4):52--60, 2006.
    [3]
    Colin Blundell, E. Christopher Lewis, and Milo M. K. Martin. Subtleties of transactional memory atomicity semantics. Computer Architecture Letters, 5(2), November 2006.
    [4]
    Jayaram Bobba, Kevin E. Moore, Luke Yen, Haris Volos, Mark D. Hill, Michael M. Swift, and David A. Wood. Performance pathologies in hardware transactional memory. In Proceedings of the 34th Annual International Symposium on Computer Architecture, June 2007.
    [5]
    Chi Cao Minh, JaeWoong Chung, Christos Kozyrakis, and Kunle Olukotun. STAMP: Stanford transactional applications for multi-processing. In IISWC '08: Proceedings of The IEEE International Symposium on Workload Characterization, September 2008.
    [6]
    Chi Cao Minh, Martin Trautmann, JaeWoong Chung, Austen McDonald, Nathan Bronson, Jared Casper, Christos Kozyrakis, and Kunle Olukotun. An effective hybrid transactional memory system with strong isolation guarantees. In Proceedings of the 34th Annual International Symposium on Computer Architecture, June 2007.
    [7]
    Hassan Chafi, Jared Casper, Brian D. Carlstrom, Austen McDonald, Chi Cao Minh, Woongki Baek, Christos Kozyrakis, and Kunle Olukotun. A scalable, non-blocking approach to transactional memory. In HPCA, pages 97--108, 2007.
    [8]
    Lance Hammond, Vicky Wong, Mike Chen, Brian D. Carlstrom, John D. Davis, Ben Hertzberg, Manohar K. Prabhu, Honggo Wijaya, Christos Kozyrakis, and Kunle Olukotun. Transactional memory coherence and consistency. In Proceedings of the 31st Annual International Symposium on Computer Architecture, page 102. IEEE Computer Society, June 2004.
    [9]
    D. N. Jayasimha, Bilal Zafar, and Yatin Hoskote. On-die Interconnection Networks: Why They are Different and How to Compare Them. In Technical Report at http://blogs.intel.com/research/terascale/ODI_why-different.pdf, Microprocessor Technology Lab, Corporate Technology Group, Intel Corp., 2006.
    [10]
    Sanjeev Kumar, Michael Chu, Christopher J. Hughes, Partha Kundu, and Anthony Nguyen. Hybrid transactional memory. In Proceedings of Symposium on Principles and Practice of Parallel Programming, March 2006.
    [11]
    Austen McDonald, JaeWoong Chung, Brian D. Carlstrom, Chi Cao Minh, Hassan Chafi, Christos Kozyrakis, and Kunle Olukotun. Architectural semantics for practical transactional memory. In Proceedings of the 33rd Annual International Symposium on Computer Architecture, pages 53--65, June 2006.
    [12]
    Austen McDonald, JaeWoong Chung, Hassan Chafi, Chi Cao Minh, Brian D. Carlstrom, Lance Hammond, Christos Kozyrakis, and Kunle Olukotun. Characterization of TCC on chip-multiprocessors. In Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques, Sept 2005.
    [13]
    Kevin E. Moore, Jayaram Bobba, Michelle J. Moravan, Mark D. Hill, and David A. Wood. LogTM: Log-based transactional memory. In HPCA '06: Proc. 12th International Symposium on High-Performance Computer Architecture, pages 254--265, February 2006.
    [14]
    Ravi Rajwar, Maurice Herlihy, and Konrad Lai. Virtualizing transactional memory. In Proceedings of the 32nd Annual International Symposium on Computer Architecture, pages 494--505. IEEE Computer Society, June 2005.
    [15]
    Arrvindh Shriraman and Sandhya Dwarkadas. Refereeing conflicts in hardware transactional memory. In ICS '09: Proc. 23rd international conference on Supercomputing, pages 136--146, June 2009. Also available as TR 939, Department of Computer Science, University of Rochester, September 2008.
    [16]
    Travis Skare and Christos Kozyrakis. Early release: Friend or foe? In Proc. Workshop on Transactional Workloads, June 2006.
    [17]
    James Smith. A study of branch prediction strategies. In International Symposium on Computer Architecture, pages 202--215, 1998.
    [18]
    Nehir Sonmez, Cristian Perfumo, Srdjan Stipic, Adrian Cristal, Osman Unsal, and Mateo Valero. unreadTVar: Extending haskell software transactional memory for performance. In Proc. of Eighth Symposium on Trends in Functional Programming (TFP 2007), 2007.
    [19]
    Michael F. Spear, Luke Dalessandro, Virendra J. Marathe, and Michael L. Scott. A comprehensive strategy for contention management in software transactional memory. In PPoPP '09: Proc. 14th ACM SIGPLAN symposium on Principles and practice of parallel programming, pages 141--150, February 2009.

    Cited By

    View all
    • (2021)DeTraS: Delaying Stores for Friendly-Fire Mitigation in Hardware Transactional MemoryIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2021.3085210(1-1)Online publication date: 2021
    • (2020)Transaction-Based Core Reliability2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS47924.2020.00027(168-179)Online publication date: May-2020
    • (2019)Multiversioned Page Overlays: Enabling Faster Serializable Hardware Transactional Memory2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT)10.1109/PACT.2019.00038(395-408)Online publication date: Sep-2019
    • Show More Cited By

    Index Terms

    1. EazyHTM: eager-lazy hardware transactional memory

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      MICRO 42: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
      December 2009
      601 pages
      ISBN:9781605587981
      DOI:10.1145/1669112
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 12 December 2009

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. EazyHTM
      2. transactional memory

      Qualifiers

      • Research-article

      Conference

      Micro-42
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 484 of 2,242 submissions, 22%

      Upcoming Conference

      MICRO '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)12
      • Downloads (Last 6 weeks)2
      Reflects downloads up to 30 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2021)DeTraS: Delaying Stores for Friendly-Fire Mitigation in Hardware Transactional MemoryIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2021.3085210(1-1)Online publication date: 2021
      • (2020)Transaction-Based Core Reliability2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS47924.2020.00027(168-179)Online publication date: May-2020
      • (2019)Multiversioned Page Overlays: Enabling Faster Serializable Hardware Transactional Memory2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT)10.1109/PACT.2019.00038(395-408)Online publication date: Sep-2019
      • (2019)Forgive-TM: Supporting Lazy Conflict Detection In Eager Hardware Transactional Memory2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT)10.1109/PACT.2019.00023(192-204)Online publication date: Sep-2019
      • (2018)Isolation-Safe Speculative Access Control for Hardware Transactional Memory2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS)10.1109/ICECS.2018.8618020(517-520)Online publication date: Dec-2018
      • (2018)High-Performance GPU Transactional Memory via Eager Conflict Detection2018 IEEE International Symposium on High Performance Computer Architecture (HPCA)10.1109/HPCA.2018.00029(235-246)Online publication date: Feb-2018
      • (2017)Accelerating GPU Hardware Transactional Memory with Snapshot IsolationACM SIGARCH Computer Architecture News10.1145/3140659.308020445:2(282-294)Online publication date: 24-Jun-2017
      • (2017)What Scalable Programs Need from Transactional MemoryACM SIGARCH Computer Architecture News10.1145/3093337.303775045:1(105-118)Online publication date: 4-Apr-2017
      • (2017)What Scalable Programs Need from Transactional MemoryACM SIGPLAN Notices10.1145/3093336.303775052:4(105-118)Online publication date: 4-Apr-2017
      • (2017)What Scalable Programs Need from Transactional MemoryACM SIGOPS Operating Systems Review10.1145/3093315.303775051:2(105-118)Online publication date: 4-Apr-2017
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media