Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1837274.1837307acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A correlation-based design space exploration methodology for multi-processor systems-on-chip

Published: 13 June 2010 Publication History

Abstract

Given the increasing complexity of multi-processor systems-on-chip, a wide range of parameters must be tuned to find the best trade-offs in terms of the selected system figures of merit (such as energy, delay and area). This optimization phase is called Design Space Exploration (DSE) consisting of a Multi-Objective Optimization (MOO) problem. In this paper, we propose an iterative design space exploration methodology exploiting the statistical properties of known system configurations to infer, by means of a correlation-based analysis, the next design points to be analyzed with low-level simulations. In fact, the knowledge of few design points is used to predict the expected improvement of unknown configurations. We show that the correlation of the configurations within the multi-processor design space can be modeled successfully with analytical functions and, thus, speed up the overall exploration phase. This makes the proposed methodology a model-assisted heuristic that, for the first time, exploits the correlation about architectural configurations to converge to the solution of the multi-objective problem.

References

[1]
Donald R. Jones, Matthias Schonlau, and William J. Welch. Efficient global optimization of expensive black-box functions. J. of Global Optimization, 13(4):455--492, 1998.
[2]
P. J. Joseph, Kapil Vaswani, and Matthew J. Thazhuthaveetil. Construction and use of linear regression models for processor performance analysis. In Symposium on High Performance Computer Architecture, pages 99--108, Austin, Texas, USA, 2006. IEEE Computer Society.
[3]
Benjamin C. Lee and David M. Brooks. Accurate and efficient regression modeling for microarchitectural performance and power prediction. SIGOPS Oper. Syst. Rev., 40(5):185--194, 2006.
[4]
David Sheldon, Frank Vahid, and Stefano Lonardi. Soft-core processor customization using the design of experiments paradigm. In DATE '07: Proceedings of the conference on Design, automation and test in Europe, pages 821--826, 2007.
[5]
Joshua J. Yi, David J. Lilja, and Douglas M. Hawkins. A statistically rigorous approach for improving simulation methodology. In HPCA '03: Proceedings of the 9th International Symposium on High-Performance Computer Architecture, page 281, Washington, DC, USA, 2003. IEEE Computer Society.
[6]
Giuseppe Ascia, Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, and Davide Patti. Efficient design space exploration for application specific systems-on-a-chip. Journal of Systems Architecture, 53(10):733--750, 2007.
[7]
G. Palermo, C. Silvano, and V. Zaccaria. ReSPIR: A response surface-based pareto iterative refinement for application-specific design space exploration. IEEE Transactions on Computer Aided Design of Integrated Circuits, 28(12):1816--1829, December 2009.
[8]
Yaochu Jin, M. Olhofer, and B. Sendhoff. Managing approximate models in evolutionary aerodynamic design optimization. In Proc. Congress on Evolutionary Computation, volume 1, pages 592--599 vol. 1, 2001.
[9]
Yaochu Jin, M. Olhofer, and B. Sendhoff. A framework for evolutionary optimization with approximate fitness functions. IEEE Transaction on Evolutionary Computation, 6(5):481--494, 2002.
[10]
John Dennis, J. E. Dennis, Virginia Torczon, and Virginia Torczon. Managing approximation models in optimization. In Multidisciplinary Design Optimization: State-of-the-Art, pages 330--347, 1995.
[11]
M. T. M. Emmerich, K. C. Giannakoglou, and B. Naujoks. Single- and multiobjective evolutionary optimization assisted by gaussian random field metamodels. Evolutionary Computation, IEEE Transactions on, 10(4):421--439, Aug. 2006.
[12]
Joshoa Knowles. Parego: a hybrid algorithm with on-line landscape approximation for expensive multiobjective optimization problems. Evolutionary Computation, IEEE Transactions on, 10(1):50--66, Feb. 2006.
[13]
Jose Renau, Basilio Fraguela, James Tuck, Wei Liu, Milos Prvulovic, Luis Ceze, Smruti Sarangi, Paul Sack, Karin Strauss, and Pablo Montesinos. SESC simulator, January 2005. http://sesc.sourceforge.net.
[14]
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. SPLASH-2 programs: characterization and methodological considerations. Proceedings of the 22th International Symposium on Computer Architecture, page 2436, 1995.
[15]
T. J. Santner, Williams B., and Notz W. The Design and Analysis of Computer Experiments. Springer-Verlag, 2003.
[16]
K. Deb, A. Pratap, S. Agarwal, and T. Meyarivan. A fast and elitist multiobjective genetic algorithm: NSGA-II. 6(2):182--197, 2002.
[17]
Jaszkiewicz A. Czyak P. Pareto simulated annealing - a metaheuristic technique for multiple-objective combinatorial optimisation. Journal of Multi-Criteria Decision Analysis, (7):34--47, April 1998.

Cited By

View all
  • (2024)MoDSE: A High-Accurate Multiobjective Design Space Exploration Framework for CPU MicroarchitecturesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.334005943:5(1525-1537)Online publication date: May-2024
  • (2023)A-DECA: An Automated Design Space Exploration Approach for Computing Architectures to Develop Efficient High-Performance Many-Core Processors2023 26th Euromicro Conference on Digital System Design (DSD)10.1109/DSD60849.2023.00108(756-763)Online publication date: 6-Sep-2023
  • (2022)Methodologies for Design Space ExplorationHandbook of Computer Architecture10.1007/978-981-15-6401-7_23-1(1-31)Online publication date: 27-Jan-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '10: Proceedings of the 47th Design Automation Conference
June 2010
1036 pages
ISBN:9781450300025
DOI:10.1145/1837274
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 June 2010

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. design space exploration
  2. kriging
  3. multi-processor systems-on-chip
  4. response surface

Qualifiers

  • Research-article

Funding Sources

Conference

DAC '10
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)6
  • Downloads (Last 6 weeks)0
Reflects downloads up to 12 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2024)MoDSE: A High-Accurate Multiobjective Design Space Exploration Framework for CPU MicroarchitecturesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.334005943:5(1525-1537)Online publication date: May-2024
  • (2023)A-DECA: An Automated Design Space Exploration Approach for Computing Architectures to Develop Efficient High-Performance Many-Core Processors2023 26th Euromicro Conference on Digital System Design (DSD)10.1109/DSD60849.2023.00108(756-763)Online publication date: 6-Sep-2023
  • (2022)Methodologies for Design Space ExplorationHandbook of Computer Architecture10.1007/978-981-15-6401-7_23-1(1-31)Online publication date: 27-Jan-2022
  • (2021)A Decision-Making Method Providing Sustainability to FPGA-Based SoCs by Run-Time Structural Adaptation to Mode of Operation, Power Budget, and Die Temperature VariationsInternational Journal of Reconfigurable Computing10.1155/2021/55129382021Online publication date: 1-Jan-2021
  • (2020)A Case for Security-Aware Design-Space Exploration of Embedded SystemsJournal of Low Power Electronics and Applications10.3390/jlpea1003002210:3(22)Online publication date: 17-Jul-2020
  • (2020)CSMO-DSEACM Journal on Emerging Technologies in Computing Systems10.1145/337140616:2(1-22)Online publication date: 30-Jan-2020
  • (2020)Allocating One Common ACC-Rich Platform for Many Streaming ApplicationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.296704639:12(5156-5169)Online publication date: Dec-2020
  • (2019)AXIOM: A Scalable, Efficient and Reconfigurable Embedded Platform2019 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2019.8715168(480-485)Online publication date: Mar-2019
  • (2019)Fast Performance Estimation and Design Space Exploration of Manycore-based Neural ProcessorsProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317823(1-6)Online publication date: 2-Jun-2019
  • (2019)A Design Space Exploration Tool Set for Future 1K-core High-Performance ComputersProceedings of the Rapid Simulation and Performance Evaluation: Methods and Tools10.1145/3300189.3300195(1-6)Online publication date: 21-Jan-2019
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media