Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/201310.201321acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
Article
Free access

The design of RPM: an FPGA-based multiprocessor emulator

Published: 15 February 1995 Publication History
  • Get Citation Alerts
  • Abstract

    Recent advances in Field-Programmable Gate Arrays (FPGA) and programmable interconnects have made it possible to build efficient hardware emulation engines. In addition, improvements in Computer-Aided Design (CAD) tools, mainly in synthesis tools, greatly simplify the design of large circuits. The RPM (Rapid Prototype Engine for Multiprocessors) Project leverages these two technological advances. Its goal is to develop a common hardware platform for the emulation of multiprocessor systems with different architectures.
    For cost reasons, the use of FPGAs in RPM is limited to the memory controllers, while the rest of the emulator, including the processors, memories and interconnect, is built with off-the-shelf components. A flexible non-intrusive event logging mechanism is included at all levels of the memory hierarchy, making it possible to monitor the emulation in very fine detail. This paper presents the hardware design of RPM.

    References

    [1]
    Aptix Inc., Data Book, Aptix, San Jose, 1993.
    [2]
    Barroso, L., Iman, S., Jeong, J., Oner, K., Ramamurthy, K., and Dubois, M., "RPM: A Rapid Prototyping Engine for Multiprocessor Systems", IEEE Computer, February 1995.
    [3]
    Catanzaro, B., Multiprecesser System Architectures. Prentice-Hall, 1994.
    [4]
    Jaswinder Pal Singh, Wolf-Dietrich Weber, and Anoop Gupta, "SPLASH: Stanford parallel applications for sharedmemory", Technical Report CSL-TR-91-469, Stanford Computer Systems Laboratory, April 1991.
    [5]
    Dubois, M., Scheurich, C., and Briggs, F.A., "Synchronization, Coherence, and Event Ordering in Multiprocessors," IEEE Computer, Vol. 21, No. 2, pp. 9-21, February 1988.
    [6]
    Hagersten, E., Landin, A., and Haridi, S., "DDM -- A Cache-Only Memory Architecture," IEEE Computer, Vol. 25, No. 9, pp. 44-54, September 1992.
    [7]
    INCA, "VA-II Logic Emulator", INCA, Berkshire, United Kingdom, 1993.
    [8]
    Ko, B. and Maholtra, L., "Logic Emulation for System- Level Design", Prec. Electro, 1992.
    [9]
    Lenoski, D., Laudon, J., Gharachorloo, K., Weber, W.- D., Gupta, A., Hennessy, J., Horowitz, M., and Lam, M.S., "The Stanford DASH Multiprocessor," IEEE Computer, pp. 63-79, Vol. 25, No. 3, March 1992.
    [10]
    Li, K. and Hudak, P., "Memory Coherence in Shared Virtual Memory Systems," ACM Transactions on Computer Systems, Vol. 7, No. 4, pp. 321-359, November 1989.
    [11]
    Maliniak, L., "Logic Emulation Meets the Demands of CPU Designers", Electronic Design, vol. 41, no. 7, pp. 36- 40, 1993.
    [12]
    Stenstrom, P.,"A Survey of Cache Coherence Schemes for Multiprocessors," IEEE Computer, Vol. 23, No. 6, pp. 12-24, June 1990.
    [13]
    Trimberger, S.,"A Reprogrammable Gate Array and Applications," Proceedings of the IEEE, Vol. 81, No. 7, pp. 1030-1041, July 1993.
    [14]
    Walters, S., "Reprogrammable Hardware Emulation Automates System Level ASIC Validation," Prec. WESCON, 199011

    Cited By

    View all
    • (2011)An FPGA-based scalable simulation accelerator for tile architecturesACM SIGARCH Computer Architecture News10.1145/2082156.208216639:4(38-43)Online publication date: 19-Dec-2011
    • (2009)ProtoFlexACM Transactions on Reconfigurable Technology and Systems10.1145/1534916.15349252:2(1-32)Online publication date: 1-Jun-2009
    • (2008)Full-system chip multiprocessor power evaluations using FPGA-based emulationProceedings of the 2008 international symposium on Low Power Electronics & Design10.1145/1393921.1394010(335-340)Online publication date: 11-Aug-2008
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    FPGA '95: Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
    February 1995
    174 pages
    ISBN:089791743X
    DOI:10.1145/201310
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 15 February 1995

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. field-programmable gate arrays
    2. logic emulation
    3. message-passing multicomputers
    4. rapid prototyping
    5. shared-memory multiprocessors

    Qualifiers

    • Article

    Conference

    FPGA95
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 125 of 627 submissions, 20%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)66
    • Downloads (Last 6 weeks)18
    Reflects downloads up to 12 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2011)An FPGA-based scalable simulation accelerator for tile architecturesACM SIGARCH Computer Architecture News10.1145/2082156.208216639:4(38-43)Online publication date: 19-Dec-2011
    • (2009)ProtoFlexACM Transactions on Reconfigurable Technology and Systems10.1145/1534916.15349252:2(1-32)Online publication date: 1-Jun-2009
    • (2008)Full-system chip multiprocessor power evaluations using FPGA-based emulationProceedings of the 2008 international symposium on Low Power Electronics & Design10.1145/1393921.1394010(335-340)Online publication date: 11-Aug-2008
    • (2008)A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAsProceedings of the 16th international ACM/SIGDA symposium on Field programmable gate arrays10.1145/1344671.1344684(77-86)Online publication date: 24-Feb-2008
    • (2007)A practical FPGA-based framework for novel CMP researchProceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays10.1145/1216919.1216936(116-125)Online publication date: 18-Feb-2007
    • (2007)RAMPIEEE Micro10.1109/MM.2007.3927:2(46-57)Online publication date: 1-Mar-2007
    • (2001)Design of high-speed and flexible controllers in programmable logic devicesCanadian Conference on Electrical and Computer Engineering 2001. Conference Proceedings (Cat. No.01TH8555)10.1109/CCECE.2001.933624(1265-1271)Online publication date: 2001
    • (1998)Reconfigurable systems: a surveyProceedings of 1998 Asia and South Pacific Design Automation Conference10.1109/ASPDAC.1998.669520(447-452)Online publication date: 1998
    • (1998)Rapid Hardware Prototyping on RPM-2IEEE Design & Test10.1109/54.70604215:3(112-118)Online publication date: 1-Jul-1998

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media