Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2485922.2485960acmotherconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Tri-level-cell phase change memory: toward an efficient and reliable memory system

Published: 23 June 2013 Publication History

Abstract

There are several emerging memory technologies looming on the horizon to compensate the physical scaling challenges of DRAM. Phase change memory (PCM) is one such candidate proposed for being part of the main memory in computing systems. One salient feature of PCM is its multi-level-cell (MLC) property, which can be used to multiply the memory capacity at the cell level. However, due to the nature of PCM that the value written to the cell can drift over time, PCM is prone to a unique type of soft errors, posing a great challenge for their practical deployment. This paper first quantitatively studied the current art for MLC PCM in dealing with the resistance drift problem and showed that the previously proposed techniques such as scrubbing or error correction mechanisms have significant reliability challenges to overcome. We then propose tri-level-cell PCM and demonstrate its ability to achieving 105 x lower soft error rate than four-level-cell PCM and 1.33 x higher information density than single-level-cell PCM. According to our findings, the tri-level-cell PCM shows 36.4% performance improvement over the four-level-cell PCM while achieving the soft error rate of DRAM.

References

[1]
M. Awasthi, M. Shevgoor, K. Sudan, B. Rajendran, R. Balasubramonian, and V. Srinivasan, "Efficient Scrub Mechanisms for Error-Prone Emerging Memories," in Proceedings of the International Symposium on High Performance Computer Architecture, 2012.
[2]
R. Bose and D. Ray-Chaudhuri, "On a class of error correcting binary group codes," Information and control, vol. 3, no. 1, pp. 68--79, 1960.
[3]
Y. Choi, I. Song, M.-H. Park, H. Chung, S. Chang, B. Cho, J. Kim, Y. Oh, D. Kwon, J. Sunwoo, J. Shin, Y. Rho, C. Lee, M. G. Kang, J. Lee, Y. Kwon, S. Kim, J. Kim, Y.-J. Lee, Q. Wang, S. Cha, S. Ahn, H. Horii, J. Lee, K. Kim, H. Joo, K. Lee, Y.-T. Lee, J. Yoo, and G. Jeong, "A 20nm 1.8V 8Gb PRAM with 40MB/s Program Bandwidth," in Technical Digest of the 2012 IEEE International Solid-State Circuits Conference, 2012.
[4]
R. Hamming, "Error detecting and error correcting codes," Bell System Technical Journal, vol. 29, no. 2, pp. 147--160, 1950.
[5]
A. Hocquenghem, "Codes correcteurs d'erreurs," Chiffres, vol. 2, no. 2, pp. 147--156, 1959.
[6]
Y. Hwang, C. Um, J. Lee, C. Wei, H. Oh, G. Jeong, H. Jeong, C. Kim, and C. Chung, "MLC PRAM with SLC write-speed and robust read scheme," in Proceedings of the 2010 Symposium on VLSI Technology (VLSIT), 2010, pp. 201--202.
[7]
D. Ielmini, A. Lacaita, and D. Mantegazza, "Recovery and drift dynamics of resistance and threshold voltages in phase-change memories," IEEE Transactions on Electron Devices, vol. 54, no. 2, pp. 308--315, 2007.
[8]
D. Ielmini, S. Lavizzari, D. Sharma, and A. Lacaita, "Physical interpretation, modeling and impact on phase change memory (PCM) reliability of resistance drift due to chalcogenide structural relaxation," in Proceedings of the IEEE International on Electron Devices Meeting (IEDM), 2007, pp. 939--942.
[9]
L. Jiang, Y. Zhang, and J. Yang, "ER: elastic RESET for low power and long endurance MLC based phase change memory," in Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, 2012, pp. 39--44.
[10]
D. Kang, J. Lee, J. Kong, D. Ha, J. Yu, C. Um, J. Park, F. Yeung, J. Kim, W. Park et al., "Two-bit cell operation in diode-switch phase change memory cells with 90nm technology," in Proceedings of 2008 Symposium on VLSI Technology, 2008, pp. 98--99.
[11]
T. Nirschl, J. Phipp, T. Happ, G. Burr, B. Rajendran, M. Lee, A. Schrott, M. Yang, M. Breitwisch, C. Chen et al., "Write strategies for 2 and 4-bit multi-level phase-change memory," in IEEE International Electron Devices Meeting (IEDM), 2007, pp. 461--464.
[12]
N. Papandreou, H. Pozidis, T. Mittelholzer, G. Close, M. Breitwisch, C. Lam, and E. Eleftheriou, "Drift-tolerant multilevel phase-change memory," in 2011 3rd IEEE International Memory Workshop (IMW). IEEE, pp. 1--4.
[13]
M. Qureshi, M. Franceschini, and L. Lastras-Montano, "Improving read performance of phase change memories via write cancellation and write pausing," in Proceedings of the International Symposium on High Performance Computer Architecture, 2010.
[14]
M. Qureshi, V. Srinivasan, and J. Rivers, "Scalable high performance main memory system using phase-change memory technology," in Proceedings of the 36th International Symposium on Computer Architecture, 2009.
[15]
M. K. Qureshi, J. Karidis, M. Fraceschini, V. Srinivasan, L. Lastras, and B. Abali, "Enhancing Lifetime and Security of Phase Change Memories via Start-Gap Wear Leveling," in Proceedings of the International Symposium on Microarchitecture, 2009.
[16]
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, S. Sarangi, P. Sack, K. Strauss, and P. Montesinos, "SESC simulator," January 2005, http://sesc.sourceforge.net.
[17]
B. Schroeder, E. Pinheiro, and W. Weber, "Dram errors in the wild: a large-scale field study," in Proceedings of the eleventh international joint conference on Measurement and modeling of computer systems. ACM, 2009, pp. 193--204.
[18]
N. H. Seong, D. H. Woo, and H.-H. S. Lee, "Security Refresh: Protecting Phase-Change Memory against Malicious Wear Out," IEEE Micro, vol. 31, no. 1, pp. 119--127, 2011.
[19]
N. H. Seong, D. H. Woo, V. Srinivasan, J. A. Rivers, and H.-H. S. Lee, "SAFER: Stuck-at-fault error recovery for memories," in Proceedings of the 43rd IEEE/ACM International Symposium on Microarchitecture, 2010.
[20]
W. Xu and T. Zhang, "A time-aware fault tolerance scheme to improve reliability of multilevel phase-change memory in the presence of significant resistance drift," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 19, no. 8, pp. 1357--1367, 2011.
[21]
D. H. Yoon and M. Erez, "Virtualized and flexible ecc for main memory," in Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2010.
[22]
W. Zhang and T. Li, "Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system," in Proceedings of 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN), 2011, pp. 197--208.

Cited By

View all
  • (2024)SELCC: Enhancing MLC Reliability and Endurance with Single-Cell Error Correction Codes2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546752(1-6)Online publication date: 25-Mar-2024
  • (2024)HYDRA: A Hybrid Resistance Drift Resilient Architecture for Phase Change Memory-Based Neural Network AcceleratorsIEEE Transactions on Computers10.1109/TC.2024.340409673:9(2123-2135)Online publication date: Sep-2024
  • (2024)Mitigating Write Disturbance in Non-Volatile Memory via Coupling Machine Learning with Out-of-Place Updates2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00092(1184-1198)Online publication date: 2-Mar-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Other conferences
ISCA '13: Proceedings of the 40th Annual International Symposium on Computer Architecture
June 2013
686 pages
ISBN:9781450320795
DOI:10.1145/2485922
  • cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 41, Issue 3
    ICSA '13
    June 2013
    666 pages
    ISSN:0163-5964
    DOI:10.1145/2508148
    Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

  • IEEE CS

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 23 June 2013

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Funding Sources

Conference

ISCA'13
Sponsor:

Acceptance Rates

ISCA '13 Paper Acceptance Rate 56 of 288 submissions, 19%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)58
  • Downloads (Last 6 weeks)4
Reflects downloads up to 09 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2024)SELCC: Enhancing MLC Reliability and Endurance with Single-Cell Error Correction Codes2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546752(1-6)Online publication date: 25-Mar-2024
  • (2024)HYDRA: A Hybrid Resistance Drift Resilient Architecture for Phase Change Memory-Based Neural Network AcceleratorsIEEE Transactions on Computers10.1109/TC.2024.340409673:9(2123-2135)Online publication date: Sep-2024
  • (2024)Mitigating Write Disturbance in Non-Volatile Memory via Coupling Machine Learning with Out-of-Place Updates2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00092(1184-1198)Online publication date: 2-Mar-2024
  • (2023)Density of amorphous sputtered Ge2Sb2Te5 thin filmsAIP Advances10.1063/5.013347713:1Online publication date: 13-Jan-2023
  • (2022)DynaPATProceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design10.1145/3508352.3549400(1-9)Online publication date: 30-Oct-2022
  • (2022)ADAPT: A Write Disturbance-Aware Programming Technique for Scaled Phase Change MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.306870441:4(950-963)Online publication date: Apr-2022
  • (2022)CEnT: An Efficient Architecture to Eliminate Intra-Array Write Disturbance in PCMIEEE Transactions on Computers10.1109/TC.2021.306857771:5(992-1007)Online publication date: 1-May-2022
  • (2021)Reliability Enhanced Heterogeneous Phase Change Memory Architecture for Performance and Energy EfficiencyIEEE Transactions on Computers10.1109/TC.2020.300949870:9(1388-1400)Online publication date: 1-Sep-2021
  • (2021)EnTiered-ReRAM: An Enhanced Low Latency and Energy Efficient TLC Crossbar ReRAM ArchitectureIEEE Access10.1109/ACCESS.2021.31298789(167173-167189)Online publication date: 2021
  • (2020)Error-Vulnerable Pattern-Aware Binary-to-Ternary Data Mapping for Improving Storage Density of 3LC Phase Change MemoryElectronics10.3390/electronics90406269:4(626)Online publication date: 9-Apr-2020
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media