Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2516821.2516846acmotherconferencesArticle/Chapter ViewAbstractPublication PagesrtnsConference Proceedingsconference-collections
research-article

Thermal analysis of periodic real-time systems with stochastic properties: an analytical approach

Published: 16 October 2013 Publication History

Abstract

We consider a real-time system running periodic tasks with probabilistic execution times. In this system, temperature behavior of the processor is affected by the jobs characteristics and scheduling algorithm. In turn, the processor temperature affects its reliability and power consumption. Moreover, the maximum speed by which the system can operate correctly and still avoid temperature runaway is dependent on its stochastic thermal behavior. Therefore, performance, power consumption, and reliability of this system are unavoidably dependent on its thermal behavior. In this paper, we present an analytical method to extract a fundamental temperature measure, namely temperature probability density function, to represent thermal specification of the system. Also, we show that how this specification could be used by the designer to perform temperature-aware analysis of reliability and power consumption of individual tasks.

References

[1]
L. Abeni and G. Buttazzo. Integrating multimedia applications in hard real-time systems. In Proc. of the 19th IEEE Real-Time Systems Symposium, pages 4--13, 1998.
[2]
L. Abeni and G. Buttazzo. Stochastic analysis of a reservation based system. In Proc. 15th International Parallel and Distributed Processing Symposium, pages 946--952, 2001.
[3]
V. Chandra and R. Aitken. Impact of technology and voltage scaling on the soft error susceptibility in nanoscale cmos. In Proc. of the 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, DFT '08, pages 114--122, Washington, DC, USA, 2008.
[4]
M. Chrobak, M. Hurand, and J. Sgall. Fast algorithms for testing fault-tolerance of sequenced jobs with deadlines. In Proc. of the 28th IEEE International Real-Time Systems Symposium, pages 139--148, 2007.
[5]
L. Cucu-Grosjean, L. Santinelli, M. Houston, C. Lo, T. Vardanega, L. Kosmidis, J. Abella, E. Mezzetti, E. Quiñones, and F. J. Cazorla. Measurement-based probabilistic timing analysis for multi-path programs. In Euromicro Conference on Real-Time Systems (ECRTS), pages 91--101, 2012.
[6]
T. Granlund and N. Olsson. Seus induced by thermal to high-energy neutrons in srams. In the 8th European Conference on Radiation and Its Effects on Components and Systems, RADECS, pages E1--1--E1--4, 2005.
[7]
H. Huang and G. Quan. Leakage aware energy minimization for real-time systems under the maximum temperature constraint. In Design, Automation Test in Europe Conference Exhibition (DATE), pages 1--6, march 2011.
[8]
M. Kargahi and A. Movaghar. Non-preemptive earliest-deadline-first scheduling policy: a performance study. In Proc. the 13th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, pages 201--208. IEEE, 2005.
[9]
M. Kargahi and A. Movaghar. A method for performance analysis of earliest-deadline-first scheduling policy. The Journal of Supercomputing, 37(2):197--222, 2006.
[10]
M. Kargahi and A. Movaghar. Performance optimization based on analytical modeling in a real-time system with constrained time/utility functions. IEEE Transactions on Computers, 60(8):1169--1181, aug. 2011.
[11]
K. Kim, J. Diaz, L. Lo Bello, J. Lopez, C.-G. Lee, and S.-L. Min. An exact stochastic analysis of priority-driven periodic real-time systems and its approximations. Computers, IEEE Transactions on, 54(11):1460--1466, 2005.
[12]
P. Kumar and L. Thiele. Cool shapers: shaping real-time tasks for improved thermal guarantees. In DAC, pages 468--473, 2011.
[13]
J. P. Lehoczky. Real-time queueing theory. In IEEE Real-Time Systems Symposium, pages 186--195, 1996.
[14]
J. P. Lehoczky. Real-time queueing network theory. In IEEE Real-Time Systems Symposium, pages 58--67, 1997.
[15]
W. Liao, L. He, and K. Lepak. Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24(7):1042--1053, july 2005.
[16]
Y. Liu, R. Dick, L. Shang, and H. Yang. Accurate temperature-dependent integrated circuit leakage power estimation is easy. In Proc. of the Conference on Design, Automation and Test in Europe, pages 1526--1531. EDA Consortium, 2007.
[17]
S. Manolache, P. Eles, and Z. Peng. Real-Time Applications with Stochastic Task Execution Times: Analysis and Optimisation. Springer, 2007.
[18]
M. Mohaqeqi, M. Kargahi, and A. Movaghar. Analytical leakage/temperature-aware power modeling and optimization for a variable speed real-time system. In RTNS, pages 81--89, 2012.
[19]
M. Mohaqeqi, M. Kargahi, and A. Movaghar. Analytical leakage-aware thermal modeling of a real-time system. IEEE Transactions on Computers, to appear.
[20]
A. Movaghar. On queueing with customer impatience until the end of service. In Proc. Computer Performance and Dependability Symposium, IPDS, pages 167--174, 2000.
[21]
L. Palopoli, D. Fontanelli, N. Manica, and L. Abeni. An analytical bound for probabilistic deadlines. In Euromicro Conference on Real-Time Systems (ECRTS), pages 179--188, 2012.
[22]
A. Papoulis and S. U. Pillai. Probability, random variables and stochastic processes. Tata McGraw-Hill Education, 2002.
[23]
H. Pham. System software reliability. Springer, 2006.
[24]
G. Quan and V. Chaturvedi. Feasibility analysis for temperature-constraint hard real-time periodic tasks. Industrial Informatics, IEEE Transactions on, 6(3):329--339, aug. 2010.
[25]
R. Rao and S. Vrudhula. Fast and accurate prediction of the steady-state throughput of multicore processors under thermal constraints. Trans. Comp.-Aided Des. Integ. Cir. Sys., 28(10):1559--1572, Oct. 2009.
[26]
L. Schor, I. Bacivarov, H. Yang, and L. Thiele. Worst-case temperature guarantees for real-time applications on multi-core systems. In IEEE Real-Time and Embedded Technology and Applications Symposium, pages 87--96, 2012.
[27]
L. Sha, T. Abdelzaher, K.-E. Årzén, A. Cervin, T. Baker, A. Burns, G. Buttazzo, M. Caccamo, J. Lehoczky, and A. K. Mok. Real time scheduling theory: A historical perspective. Real-time systems, 28(2-3):101--155, 2004.
[28]
K. Skadron, T. Abdelzaher, and M. Stan. Control-theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management. In Proc. of the 8th International Symposium on High-Performance Computer Architecture, pages 17--28, feb. 2002.
[29]
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-Aware Microarchitecture: Extended Discussion and Results. University of Virginia Dept. of Computer Science Technical Report CS-2003-08, 2003.
[30]
A. T. Tai, J. F. Meyer, and A. Avizienis. Software Performability: From Concepts to Applications. Kluwer Academic Publishers, Norwell, MA, USA, 1996.
[31]
I. Ukhov, M. Bao, P. Eles, and Z. Peng. Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems. In Proc. of the 49th Annual Design Automation Conference, DAC '12, pages 197--204, New York, NY, USA, 2012. ACM.
[32]
S. Wang and R. Bettati. Delay analysis in temperature-constrained hard real-time systems with general task arrivals. In Proc. of the 27th IEEE International Real-Time Systems Symposium, pages 323--334. IEEE, 2006.
[33]
S. Wang and R. Bettati. Reactive speed control in temperature-constrained real-time systems. In Euromicro Conference on Real-Time Systems (ECRTS), pages 161--170, 2006.
[34]
S. Wang and R. Bettati. Reactive speed control in temperature-constrained real-time systems. Real-Time Systems, 39(1-3):73--95, 2008.
[35]
Y. Wang, K. Ma, and X. Wang. Temperature-constrained power control for chip multiprocessors with online model estimation. In Proc. of the 36th Annual International Symposium on Computer Architecture, ISCA '09, pages 314--324, New York, NY, USA, 2009. ACM.
[36]
S. Zhang and K. S. Chatha. System-level thermal aware design of applications with uncertain execution time. In Proc. of the 2008 IEEE/ACM International Conference on Computer-Aided Design, ICCAD '08, pages 242--249, Piscataway, NJ, USA, 2008. IEEE Press.

Cited By

View all
  • (2018)Thermal analysis of stochastic DVFS-enabled multicore real-time systemsThe Journal of Supercomputing10.1007/s11227-015-1562-171:12(4594-4622)Online publication date: 31-Dec-2018
  • (2015)Joint management of processing and cooling power based on inaccurate thermal information in a stochastic real-time systemProceedings of the 23rd International Conference on Real Time and Networks Systems10.1145/2834848.2834879(45-54)Online publication date: 4-Nov-2015

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Other conferences
RTNS '13: Proceedings of the 21st International conference on Real-Time Networks and Systems
October 2013
298 pages
ISBN:9781450320580
DOI:10.1145/2516821
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

  • CNRS: Centre National De La Rechercue Scientifique
  • INRIA: Institut Natl de Recherche en Info et en Automatique

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 16 October 2013

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. probabilistic analysis
  2. real-time systems
  3. thermal analysis

Qualifiers

  • Research-article

Funding Sources

  • IPM

Conference

RTNS 2013
Sponsor:
  • CNRS
  • INRIA

Acceptance Rates

RTNS '13 Paper Acceptance Rate 29 of 62 submissions, 47%;
Overall Acceptance Rate 119 of 255 submissions, 47%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Thermal analysis of stochastic DVFS-enabled multicore real-time systemsThe Journal of Supercomputing10.1007/s11227-015-1562-171:12(4594-4622)Online publication date: 31-Dec-2018
  • (2015)Joint management of processing and cooling power based on inaccurate thermal information in a stochastic real-time systemProceedings of the 23rd International Conference on Real Time and Networks Systems10.1145/2834848.2834879(45-54)Online publication date: 4-Nov-2015

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media