Export Citations
Save this search
Please login to be able to save your searches and receive alerts for new content matching your search criteria.
- research-articleJanuary 2024
Numerical study of two microwave antennas dedicated to superficial cancer hyperthermia
Procedia Computer Science (PROCS), Volume 239, Issue CPages 470–482https://doi.org/10.1016/j.procs.2024.06.195AbstractMicrowave hyperthermia is an effective non-ionizing heating method for tumor sensitization. Therapy planning is necessary to achieve optimal hyperthermia treatment. This study aims to simulate two microwave antennas with different geometrical ...
- research-articleDecember 2022
A High-Precision Stochastic Solver for Steady-State Thermal Analysis with Fourier Heat Transfer Robin Boundary Conditions
ICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided DesignArticle No.: 50, Pages 1–9https://doi.org/10.1145/3508352.3549457In this work, we propose a path integral random walk (PIRW) solver, the first accurate stochastic method for steady-state thermal analysis with mixed boundary conditions, especially involving Fourier heat transfer Robin boundary conditions. We ...
- research-articleOctober 2021
- research-articleJuly 2021
Analysis and Test of PCB via Hole Heat Dissipation Performance in Circuit Practice Course
DSDE '21: Proceedings of the 2021 4th International Conference on Data Storage and Data EngineeringPages 161–165https://doi.org/10.1145/3456146.3456171The project-driven teaching concept is increasingly recognized by universities. The circuit practice course is no longer a single circuit design and welding, but is driven by the realization of the specific parameter requirements of the project. The ...
- research-articleMay 2021
Study of Thermal Simulation on Electronic Equipment Basing CFD Technique
CONF-CDS 2021: The 2nd International Conference on Computing and Data ScienceArticle No.: 122, Pages 1–4https://doi.org/10.1145/3448734.3450852The temperature distribution of electronic equipment has been simulated with CFD software Fluent. The results show that in the closed shell the temperature above heating elements is higher than that of below them, and the maximum temperature is 342 K(69 ...
-
- research-articleMarch 2020
Full-Chip Electro-Thermal Coupling Extraction and Analysis for Face-to-Face Bonded 3D ICs
ISPD '20: Proceedings of the 2020 International Symposium on Physical DesignPages 39–46https://doi.org/10.1145/3372780.3378169Due to the short die-to-die distance and inferior heat dissipation capability, Face-to-Face (F2F) boned 3D ICs are often considered to be vulnerable to electrical and thermal coupling. This study is the first to quantify the impacts of the electro-...
- research-articleNovember 2017
Computationally efficient standard-cell FEM-based thermal analysis
Thermal analysis of integrated circuits (IC) is a high performance computing problem because the nanoscale spatiotemporal features of the problem result in a large discrete problem. In previous works, compact models of ICs were introduced to speed up ...
- research-articleMay 2016
Fast Thermal Simulation using SystemC-AMS
GLSVLSI '16: Proceedings of the 26th edition on Great Lakes Symposium on VLSIPages 427–432https://doi.org/10.1145/2902961.2902975Out of the many options available for thermal simulation of digital electronic systems, those based on solving an RC equivalent circuit of the thermal network are the most popular choice in the EDA community, as they provide a reasonable tradeoff ...
- research-articleOctober 2015
T-VEMA: A Temperature- and Variation-Aware Electromigration Power Grid Analysis Tool
IEEE Transactions on Very Large Scale Integration (VLSI) Systems (ITVL), Volume 23, Issue 10Pages 2327–2331https://doi.org/10.1109/TVLSI.2014.2358678In this brief, a temperature- and variation-aware electromigration analysis (T-VEMA) tool for power grid wires is described. First, T-VEMA performs a two-stage interconnect thermal analysis on a full chip. Next, the tool extracts the effective jL product ...
- research-articleSeptember 2015
H-Matrix-Based Finite-Element-Based Thermal Analysis for 3D ICs
ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 20, Issue 4Article No.: 47, Pages 1–25https://doi.org/10.1145/2714563In this article, we propose an efficient finite-element-based (FE-based) method for both steady and transient thermal analyses of high-performance integrated circuits based on the hierarchical matrix (H-matrix) representation. H-matrix has been shown to ...
- research-articleJune 2015
Tier-partitioning for power delivery vs cooling tradeoff in 3D VLSI for mobile applications
DAC '15: Proceedings of the 52nd Annual Design Automation ConferenceArticle No.: 92, Pages 1–6https://doi.org/10.1145/2744769.2744917Power delivery to the tier farthest away from the package in 3D VLSI is challenging. This is because the current provided by the package on the bottom is (1) first used by other tiers before it reaches the top, and (2) delivered using extremely small-...
- research-articleMarch 2015
Parallel Thermal Analysis of 3-D Integrated Circuits With Liquid Cooling on CPU-GPU Platforms
IEEE Transactions on Very Large Scale Integration (VLSI) Systems (ITVL), Volume 23, Issue 3Pages 575–579https://doi.org/10.1109/TVLSI.2014.2309617In this brief, we propose an efficient parallel finite difference-based thermal simulation algorithm for 3-D-integrated circuits (ICs) using generalized minimum residual method (GMRES) solver on CPU-graphic processing unit (GPU) platforms. First, the new ...
- research-articleDecember 2014
Three-dimensional launch simulation and active cooling analysis of a single-shot electromagnetic railgun
An electromagnetic railgun is one of the applications of electromagnetic launchers, which are devices used to accelerate projectiles to velocities exceeding those attained with conventional propelling systems. A magnetic field is generated when current ...
- research-articleNovember 2014
Accurate full-chip estimation of power map, current densities and temperature for EM assessment
ICCAD '14: Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided DesignPages 440–445Full-chip power ground electro-migration assessment requires a power map, thermal map and checks for IR drops exceeding the design specifications. This paper provides a survey of the three main computation operations required to get these information.
- research-articleMarch 2014
hevcDTM: application-driven dynamic thermal management for high efficiency video coding
DATE '14: Proceedings of the conference on Design, Automation & Test in EuropeArticle No.: 224, Pages 1–4This paper presents an application-driven algorithm for Dynamic Thermal Management (DTM) for the High Efficiency Video Coding (HEVC). For efficient design of such a DTM policy, we perform an offline thermal analysis of an HEVC encoder and demonstrate ...
- research-articleOctober 2013
Thermal analysis of periodic real-time systems with stochastic properties: an analytical approach
RTNS '13: Proceedings of the 21st International conference on Real-Time Networks and SystemsPages 119–127https://doi.org/10.1145/2516821.2516846We consider a real-time system running periodic tasks with probabilistic execution times. In this system, temperature behavior of the processor is affected by the jobs characteristics and scheduling algorithm. In turn, the processor temperature affects ...
- ArticleSeptember 2013
Large Sized Slug on Solid State Lighting Stress and Temperature Analysis
EUROSIM '13: Proceedings of the 2013 8th EUROSIM Congress on Modelling and SimulationPages 191–194https://doi.org/10.1109/EUROSIM.2013.43High brightness light emitting diodes, LEDs are the latest generation of solid-state lighting devices. These LEDs portray good luminous efficiency and energy saving properties when compared with the conventional lights. Despite the advantages of the ...
- ArticleSeptember 2013
Modeling Stress Using Thermal Facial Patterns: A Spatio-temporal Approach
ACII '13: Proceedings of the 2013 Humaine Association Conference on Affective Computing and Intelligent InteractionPages 387–392https://doi.org/10.1109/ACII.2013.70Stress is a serious concern facing our world today, motivating the development of better objective understanding using non-intrusive means for stress recognition. The aim for the work was to use thermal imaging of facial regions to detect stress ...
- research-articleJuly 2013
An efficient method for analyzing on-chip thermal reliability considering process variations
ACM Transactions on Design Automation of Electronic Systems (TODAES), Volume 18, Issue 3Article No.: 41, Pages 1–32https://doi.org/10.1145/2491477.2491485This work provides an efficient statistical electrothermal simulator for analyzing on-chip thermal reliability under process variations. Using the collocation-based statistical modeling technique, first, the statistical interpolation polynomial for on-...
- ArticleJuly 2013
5mm X 5mm Copper-Diamond Composite Slug Stress Evaluation on LED
AMS '13: Proceedings of the 2013 7th Asia Modelling SymposiumPages 78–81https://doi.org/10.1109/AMS.2013.17Light-emitting diodes (LEDs), have materialized as an innovative light source which features energy saving properties, good optical performance and efficacy which is added advantage over orthodox lighting systems. However, the efficacy of the LED is ...