Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2691365.2691455acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Accurate full-chip estimation of power map, current densities and temperature for EM assessment

Published: 03 November 2014 Publication History

Abstract

Full-chip power ground electro-migration assessment requires a power map, thermal map and checks for IR drops exceeding the design specifications. This paper provides a survey of the three main computation operations required to get these information.

References

[1]
Henson, W.k., N. Yang, S. Kubicek, E.m. Vogel, J.j. Wortman, K. De Meyer, and A. Naem. "Analysis of Leakage Currents and Impact on Off-state Power Consumption for CMOS Technology in the 100-nm Regime." IEEE Transactions on Electron Devices 47.7 (2000): 1393--400.
[2]
Yacoub, Ghassan, and Walter H. Ku. "An Enhanced Technique for Simulating Short-circuit Power Dissipation." IEEE Journal of Solid-State Circuits 24.3 (1989): 844--47.
[3]
Nose, K., and T. Sakurai. "Analysis and Future Trend of Short-circuit Power." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 19.9 (2000): 1023--030.
[4]
Veendrick, Harry JM. "Short-circuit Dissipation of Static CMOS Circuitry and Its Impact on the Design of Buffer Circuits." IEEE Journal of Solid-State Circuits 19.4 (1984): 468--73.
[5]
E. Ulrich "Table look-up techniques for fast and flexible digital logic simulation", Proc. 17th DAC, pp.560--563 1980.
[6]
Kang, Sung-Mo. "Accurate Simulation of Power Dissipation in VLSI Circuits." IEEE Journal of Solid-State Circuits 21.5 (1986): 889--91
[7]
Parker, Kenneth P., and Edward J. Mccluske. "Probabilistic Treatment of General Combinational Networks." IEEE Transactions on Computers C-24.6 (1975): 668--70.
[8]
Najm, Farid. "Transition Density: A New Measure of Activity in Digital Circuits." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 12.2 (1993): 310--23.
[9]
Ghosh, Abhijit, Srinivas Devadas, Kurt Keutzer, and Jacob White. "Estimation of Average Switching Activity in Combinational and Sequential Circuits." DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference (1992): 253--59.
[10]
Hu, Fei, and Vishwani Agrawal. "Enhanced Dual-transition Probabilistic Power Estimation with Selective Supergate Analysis." IEEE International Conference on Computer Design (2005): 366--69.
[11]
Liu, Dake, and C. Svensson. "Power Consumption Estimation in CMOS VLSI Chips." IEEE Journal of Solid-State Circuits 29.6 (1994): 663--70.
[12]
Brooks, David, Vivek Tiwari, and Margaret Martonosi. "Wattch." ACM SIGARCH Computer Architecture News 28.2 (2000): 83--94.
[13]
Li, Sheng, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. "The McPAT Framework for Multicore and Manycore Architectures." ACM Transactions on Architecture and Code Optimization 10.1 (2013): 1--29.
[14]
Kahng, Andrew B., Bin Li, Li-Shiuan Peh, and Kambiz Samadi. "ORION 2.0: A Power-Area Simulator for Interconnection Networks." IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2011).
[15]
Singh, Jaskirat, and Sachin S. Sapatnekar. "Congestion-aware Topology Optimization of Structured Power/ground Networks." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24.5 (2005): 683--95.
[16]
Najm, Farid N. Circuit Simulation. Hoboken, NJ: Wiley, 2010
[17]
Davis, Timothy, and Ekanathan Natarajan. "36 Algorithm 907: KLU, A Direct Sparse Solver for Circuit Simulation Problems." ACM Transactions on Mathematical Softwar 37.3 (2010): 36:1--6:17.
[18]
Chen, Yangqing, Timothy Davis, and Sivasankaran Rajamanickam. "Algorithm 887: CHOLMOD, Supernodal Sparse Cholesky Factorization and Update/Downdate." ACM Transactions on Mathematical Software, 35.3 (2008): 22:1--22:14.
[19]
Saad, Yousef. Iterative Methods for Sparse Linear Systems. Philadelphia: SIAM, 2003.
[20]
Chiang, Ting-Yen, Ben Shieh, and Krishna Saraswat. "Impact of Joule Heating on Scaling of Deep Sub-micron Cu/low-k Interconnects." Symposium on VLSI Technology (2002): 38--39.
[21]
Valeriy Sukharev, Xin Huang, H. --B Chen, and Sheldon X.-D. Tan, "IR-Drop Based Electromigration Assessment: Parametric Failure Chip-Scale Analysis" to be published.
[22]
R. M. Christensen, Mechanics of Composite Materials, Dover Publications, New York (2005).

Cited By

View all
  • (2014)IR-drop based electromigration assessmentProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691453(428-433)Online publication date: 3-Nov-2014
  1. Accurate full-chip estimation of power map, current densities and temperature for EM assessment

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '14: Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design
    November 2014
    801 pages
    ISBN:9781479962778
    • General Chair:
    • Yao-Wen Chang

    Sponsors

    In-Cooperation

    • IEEE SSCS Shanghai Chapter
    • IEEE-EDS: Electronic Devices Society

    Publisher

    IEEE Press

    Publication History

    Published: 03 November 2014

    Check for updates

    Author Tags

    1. IR drop
    2. power grid analysis
    3. power map
    4. thermal analysis
    5. thermal map

    Qualifiers

    • Research-article

    Conference

    ICCAD '14
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 07 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2014)IR-drop based electromigration assessmentProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691453(428-433)Online publication date: 3-Nov-2014

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media