Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

An efficient method for analyzing on-chip thermal reliability considering process variations

Published: 29 July 2013 Publication History

Abstract

This work provides an efficient statistical electrothermal simulator for analyzing on-chip thermal reliability under process variations. Using the collocation-based statistical modeling technique, first, the statistical interpolation polynomial for on-chip temperature distribution can be obtained by performing deterministic electrothermal simulation very few times and by utilizing polynomial interpolation. After that, the proposed simulator not only provides the mean and standard deviation profiles of on-chip temperature distribution, but also innovates the concept of thermal yield profile to statistically characterize the on-chip temperature distribution more precisely, and builds an efficient technique for estimating this figure of merit. Moreover, a mixed-mesh strategy is presented to further enhance the efficiency of the developed statistical electrothermal simulator.
Experimental results demonstrate that (1) the developed statistical electrothermal simulator can obtain accurate approximations with orders of magnitude speedup over the Monte Carlo method; (2) comparing with a well-known cumulative distribution function estimation method, APEX [Li et al. 2004], the developed statistical electrothermal simulator can achieve 215× speedup with better accuracy; (3) the developed mixed-mesh strategy can achieve an order of magnitude faster over our baseline algorithm and still maintain an acceptable accuracy level.

References

[1]
Azzalini, A. 2005. The skew-normal distribution and related multivariate families. Board Found. Scandinavian J. Stat. 32, 159--188.
[2]
Barthelmann, V., Novak, E., and Ritter, K. 2000. High dimensional polynomial interpolation on sparse grids. Adv. Comput. Math. 12, 4, 273--288.
[3]
Bhardwaj, S., Vrudhula, S., and Goel, A. 2008. A unified approach for full chip statistical timing and leakage analysis of nanoscale circuits considering intradie process variations. IEEE Tran. Comput. Aid. Des. Integ. Circ. Syst. 27, 10, 1812--1825.
[4]
Bienacel, J., Barge, D., Bidaud, M., Emonet, N., Roy, D., Vishnubhotla, L., Pouilloux, I., and Barla, K. 2004. Anticipation of nitrided oxides electrical thickness based on XPS measurement. Mater. Sci. Semiconduct. Process. 7, 4--6, 181--183.
[5]
Bota, S., Rosales, M., Rosello, J., Keshavarzi, A., and Segura, J. 2004. Within die thermal gradient impact on clock-skew: A new type of delay-fault mechanism. In Proceedings of the IEEE International Test Conference. 1276--1283.
[6]
Chakraborty, A., Duraisami, K., Sathanur, A., Sithambaram, P., Benini, L., Macii, A., Macii, E., and Poncino, M. 2008. Dynamic thermal clock skew compensation using tunable delay buffers. IEEE Trans. Very Large Scale Integr. Syst. 16, 6, 639--649.
[7]
Chang, H. and Sapatnekar, S. S. 2007. Prediction of leakage power under process uncertainties. ACM Trans. Des. Autom. Electron. Syst. 12, 2.
[8]
Chang, H. C., Huang, P. Y., Li, T. J., and Lee, Y. M. 2010. Statistical electro-thermal analysis with high compatibility of leakage power models. In Proceedings of the IEEE International SOC Conference. 139--144.
[9]
Cheng, L., Gupta, P., Spanos, C. J., Qian, K., and He, L. 2011. Physically justifiable die-level modeling of spatial variation in view of systematic across wafer variability. IEEE Trans. Comput. Aid. Des. Integr. Circ. Syst. 30, 3, 388--401.
[10]
Cline, B., Chopra, K., Blaauw, D., and Cao, Y. 2006. Analysis and modeling of CD variation for statistical static timing. In Proceedings of the International Conference on Computer Aided Design. ACM, 60--66.
[11]
Gao, M., Ye, Z., Zeng, D., Wang, Y., and Yu, Z. 2011. Robust spatial correlation extraction with limited sample via L1-norm penalty. In Proceedings of the Asia and South Pacific Design Automation Conference. IEEE Press, 677--682.
[12]
Haghdad, K. and Anis, M. 2012. Power yield analysis under process and temperature variations. IEEE Trans. Very Large Scale Integr. Syst. 20, 10, 1794--1803.
[13]
Han, Y. and Koren, I. 2007. Simulated annealing based temperature aware floorplanning. J. Low Power Electron. 3, 2, 141--155.
[14]
Huang, P. Y. and Lee, Y. M. 2009. Full-chip thermal analysis for the early design stage via generalized integral transforms. IEEE Trans. Very Large Scale Integr. Syst. 17, 5, 613--26.
[15]
Huang, P. Y., Lee, Y. M., and Pan, C. W. 2012. On-chip statistical hot-spot estimation using mixed-mesh statistical polynomial expression generating and skew-normal based moment matching techniques. In Proceedings of the Asia and South Pacific Design Automation Conference. 603--608.
[16]
Huang, P. Y., Wu, J. H., and Lee, Y. M. 2009. Stochastic thermal simulation considering spatial correlated within-die process variations. In Proceedings of the Asia and South Pacific Design Automation Conference. 55--60.
[17]
Huang, W., Ghosh, S., Velusamy, S., Sankaranarayanan, K., Skadron, K., and Stan, M. R. 2006. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. Very Large Scale Integr. Syst. 14, 5, 501--513.
[18]
ITRS. 2010. International technology roadmap for semiconductors. http://www.itrs.net/.
[19]
Jaffari, J. and Anis, M. 2008. Statistical thermal profile considering process variation: Analysis and applications. IEEE Trans. Comput. Aid. Des. Integr. Circ. Syst. 27, 6, 1027--1040.
[20]
Kumar, R. and Kursun, V. 2006. Reversed temperature-dependent propagationdelay characteristics in nanometer CMOS circuits. IEEE Trans. Circ. Syst. Express Briefs 53, 10, 1078--1082.
[21]
Lallement, F., Duriee, B., Grouillet, A., Amaud, F., Tavel, B., Wacquant, F., Stalk, P., Woo, M., Erokhin, Y., Scheuer, J., Gadet, L., Weeman, J., Distaso, D., and Lenoblee, D. 2004. Ultra-low cost and high performance 65nm CMOS device fabricated with plasma doping. In Proceedings of the Symposium on VLSl Technology Digest of Technical Papers. 178--179.
[22]
Li, X., Le, J., Gopalakrishnan, P., and Pileggi, L. T. 2004. Asymptotic probability extraction for non-normal distributions of circuit performance. In Proceedings of the International Conference on Computer Aided Design. 2--9.
[23]
Liu, C., Chen, R. X., Tan, J., Fan, S., Fan, J., and Makki, K. 2008. Thermal aware clock synthesis considering stochastic variation and correlations. In Proceedings of the International Symposium on Circuits and Systems. 1204--1207.
[24]
Liu, F. 2007. A general framework for spatial correlation modeling in VLSI design. In Proceedings of the Design Automation Conference. 817--822.
[25]
Pang, L. T. and Nikolic, B. 2009. Measurements and analysis of process variability in 90 nm CMOS. IEEE J. Solid-State Circ. 44, 5, 1655--1663.
[26]
Phillips, G. M. 2003. Interpolation and Approximation by Polynomial. Springer-Verlag, Berlin Heidelberg.
[27]
Raphaeli, D. 1996. Distribution of noncentral indefinite quadratic forms in complex normal variables. IEEE Trans. Inf. Theory 42, 3, 1002--1007.
[28]
Reda, S., Cochran, R., and Nowroz, A. 2011. Improved thermal tracking for processors using hard and soft sensor allocation techniques. IEEE Trans. Comput. 60, 6, 841--851.
[29]
Schwab, C. and Todor, R. A. 2006. Karhunen-Loève approximation of random fields by generalized fast multipole methods. J. Comput. Physics 217, 1, 100--122.
[30]
Shen, R., Tan, S. X. D., Mi, N., and Cai, Y. 2010a. Statistical modeling and analysis of chip-level leakage power by spectral stochastic method. Integr. VLSI J. 43, 1, 156--165.
[31]
Shen, R., Tan, S. X. D., and Xiong, J. 2010b. A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation. In Proceedings of the Design Automation Conference. ACM, 481--486.
[32]
Skadron, K., Stan, M. R., Sankaranarayanan, K., Huang, W., Velusamy, S., and Tarjan, D. 2004. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Architect. Code Optimi. 1, 1, 94--125.
[33]
Smolyak, S. A. 1963. Quadrature and interpolation formulas for tensor products of certain classes of functions. Soviet Math. Doklady 4, 240--243.
[34]
Tsai, J. L., Chen, C. C. P., Chen, G., Goplen, B., Qian, H., Zhan, Y., Kang, S. M., Wong, M. D. F., and Sapatnekar, S. S. 2006. Temperature-aware placement for SOCs. Proc. IEEE 94, 8, 1502--1518.
[35]
Tutuianu, B., Dartu, F., and Pileggi, L. 1996. An explicit RC-circuit delay approximation based on the first three moments of the impulse response. In Proceedings of the Design Automation Conference. 611--616.
[36]
Vassighi, A. and Sachdev, M. 2006. Thermal runaway in integrated circuits. IEEE Trans. Device Mater. Reliab. 6, 2, 300--305.
[37]
Wang, T. Y. and Chen, C. C. P. 2003. Thermal-ADI: A linear-time chip-level thermal simulation algorithm based on alternating-direction implicit (ADI) method. IEEE Trans. Very Large Scale Integr. Syst. 11, 4, 691--670.
[38]
Yang, Y., Gu, Z., Zhu, C., Dick, R. P., and Shang, L. 2007. ISAC: Integrated space-and-time-adaptive chip-package thermal analysis. IEEE Trans. Comput. Aid. Desi. Integr. Circ. Syst. 26, 1, 86--99.
[39]
Yu, S. A., Huang, P. Y., and Lee, Y. M. 2009. A multiple supply voltage based power reduction method in 3-D ICs considering process variations and thermal effects. In Proceedings of the Asia and South Pacific Design Automation Conference. 55--60.
[40]
Zhang, D. and Lu, Z. 2004. An efficient, high-order perturbation approach for flow in random porous media via Karhunen-Loève and polynomial expansions. J. Comput. Physics 149, 2, 773--794.

Cited By

View all
  • (2023)Temperature-Aware Design and Optimization of Reliable Cyber-Physical Systems2023 International Conference on Electrical, Computer and Energy Technologies (ICECET)10.1109/ICECET58911.2023.10389266(1-6)Online publication date: 16-Nov-2023
  • (2023)VarSim: A fast process variation-aware thermal modeling methodology using Green’s functionsMicroelectronics Journal10.1016/j.mejo.2023.105995142(105995)Online publication date: Dec-2023
  • (2018)The Design of NoC-Side Memory Access Scheduling for Energy-Efficient GPGPUsInternational Journal of Parallel Programming10.1007/s10766-017-0521-246:4(722-735)Online publication date: 1-Aug-2018
  • Show More Cited By

Index Terms

  1. An efficient method for analyzing on-chip thermal reliability considering process variations

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 18, Issue 3
      July 2013
      268 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2491477
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 29 July 2013
      Accepted: 01 January 2013
      Revised: 01 September 2012
      Received: 01 March 2012
      Published in TODAES Volume 18, Issue 3

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Electrothermal simulation
      2. chip temperature
      3. process variation
      4. simulation
      5. thermal analysis
      6. thermal reliability

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)6
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 05 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)Temperature-Aware Design and Optimization of Reliable Cyber-Physical Systems2023 International Conference on Electrical, Computer and Energy Technologies (ICECET)10.1109/ICECET58911.2023.10389266(1-6)Online publication date: 16-Nov-2023
      • (2023)VarSim: A fast process variation-aware thermal modeling methodology using Green’s functionsMicroelectronics Journal10.1016/j.mejo.2023.105995142(105995)Online publication date: Dec-2023
      • (2018)The Design of NoC-Side Memory Access Scheduling for Energy-Efficient GPGPUsInternational Journal of Parallel Programming10.1007/s10766-017-0521-246:4(722-735)Online publication date: 1-Aug-2018
      • (2017)Probabilistic Analysis of Electronic Systems via Adaptive Hierarchical InterpolationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.270511736:11(1883-1896)Online publication date: Nov-2017
      • (2016)Thermal aware scheduling and mapping of multiphase applications onto chip multiprocessorProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972063(1096-1101)Online publication date: 14-Mar-2016
      • (2016)Thermal reliability prediction and analysis for high-density electronic systems based on the Markov processMicroelectronics Reliability10.1016/j.microrel.2015.10.00656(182-188)Online publication date: Jan-2016
      • (2015)H-Matrix-Based Finite-Element-Based Thermal Analysis for 3D ICsACM Transactions on Design Automation of Electronic Systems10.1145/271456320:4(1-25)Online publication date: 28-Sep-2015
      • (2015)Temperature-Centric Reliability Analysis and Optimization of Electronic Systems Under Process VariationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.237124923:11(2417-2430)Online publication date: Nov-2015
      • (2014)Probabilistic Analysis of Power and Temperature Under Process Variation for Electronic System DesignIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2014.230167233:6(931-944)Online publication date: Jun-2014

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media