Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article
Free access

Area efficient architectures for information integrity in cache memories

Published: 01 May 1999 Publication History

Abstract

Information integrity in cache memories is a fundamental requirement for dependable computing. Conventional architectures for enhancing cache reliability using check codes make it difficult to trade between the level of data integrity and the chip area requirement. We focus on transient fault tolerance in primary cache memories and develop new architectural solutions, to maximize fault coverage when the budgeted silicon area is not sufficient for the conventional configuration of an error checking code. The underlying idea is to exploit the corollary of reference locality in the organization and management of the code. A higher protection priority is dynamically assigned to the portions of the cache that are more error-prone and have a higher probability of access. The error-prone likelihood prediction is based on the access frequency. We evaluate the effectiveness of the proposed schemes using a trace-driven simulation combined with software error injection using four different fault manifestation models. From the simulation results, we show that for most benchmarks the proposed architectures are effective and area efficient for increasing the cache integrity under all four models.

References

[1]
R Chow. The MIPS-X RISC Microprocessor. Kluwer, Boston, 1989.
[2]
B. Cmelik and D. Keppel. Shade: a fast instruction-set simulator for execution profiling. Performance Evaluation Reviw, 22:128-I37, May 1994.
[3]
M. Hamada and E. Fujiwara. A class of error control codes for byte organized memory systems-SbEC-(Sb+S)ED codes. IEEE Trans. on Computers, 46(I):105-110, January 1997.
[4]
J. L. Hennessy and D. A. Patterson. Computer Architecture: A Quantitative Approach. Morgan Kaufmarm, San Francisco, CA, 1996.
[5]
H. Imai. Essentials of Error-Control Coding Techniques. Academic Press, San Diego, CA, 1990.
[6]
J. Karlsson, P. Ledan, P. Dahlgren, and R. Johansson. Using heavy-ion radiation to validate fault handling mechanisms. IEEE Micro, t4(t):8-23, February 1994.
[7]
J.M. Mulder. N. T. Quach, and M. J. Flynn. An area model for on-chip memories and its application. IEEE J. Solid- State Circuits, 26:98-106, February I991.
[8]
S. Park and B. Bose. Burst asymmetric/unidirectional error correcting/detecting codes. Proc. Int'l Syrup. Fault-Tolerant Computing, pages 273-280, June 1990.
[9]
D. Patterson, T. Anderson, N. Cardwell, R. Formm, K. Keeton, K. Kozyrakis, R. Thomas, and K. Yelick. Intelligent RAM (IRAM): Chips that remember and compute. Proc. Int'l Syrup. Solid-State Circuits, pages 224-225, February 1997.
[10]
J.C. Picket and J. T. B. Jr. Cosmic ray induced error in MOS memory cells. IEEE Trans. Nuclear Science, NS-25:1166- 1171, December 1978.
[11]
A. M. Saleh. Reliability of scrubbing recovery-techniques for memory systems. IEEE Trans. Reliability, 30(1):114-- 122, April ! 990,
[12]
D. P. Siewiorek and R. S. Swarz. Reliable Computer Systems: Design and Evaluation. Digital Press, Beford, MA, 1992.
[13]
A. K. Somani and K. Trivedi. A cache error propagation model. Proc. Int'I Symp. Pacific Rim Fault Tolerant Computing, pages 15-21, December 1997.
[14]
J. Sosnowski. Transient fault tolerance in digital systems. IEEE Micro, 14(1):24--35, February 1994.
[15]
P. Sweazey. SRAM organization, control, and speed, and their effect on cache memory design. Midcon/87, pages 434.--437, September 1987.
[16]
URL:. http: //www. specbench, org.

Cited By

View all
  • (2023)Write-Light Cache for Energy Harvesting SystemsProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589098(1-13)Online publication date: 17-Jun-2023
  • (2022)Featherweight Soft Error Resilience for GPUs2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO56248.2022.00030(245-262)Online publication date: Oct-2022
  • (2020)SALE: Smartly Allocating Low-Cost Many-Bit ECC for Mitigating Read and Write Errors in STT-RAM CachesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.2977131(1-14)Online publication date: 2020
  • Show More Cited By

Index Terms

  1. Area efficient architectures for information integrity in cache memories

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM SIGARCH Computer Architecture News
      ACM SIGARCH Computer Architecture News  Volume 27, Issue 2
      Special Issue: Proceedings of the 26th annual international symposium on Computer architecture (ISCA '99)
      May 1999
      298 pages
      ISSN:0163-5964
      DOI:10.1145/307338
      Issue’s Table of Contents
      • cover image ACM Conferences
        ISCA '99: Proceedings of the 26th annual international symposium on Computer architecture
        May 1999
        317 pages
        ISBN:0769501702

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 May 1999
      Published in SIGARCH Volume 27, Issue 2

      Check for updates

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)71
      • Downloads (Last 6 weeks)13
      Reflects downloads up to 06 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)Write-Light Cache for Energy Harvesting SystemsProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589098(1-13)Online publication date: 17-Jun-2023
      • (2022)Featherweight Soft Error Resilience for GPUs2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO56248.2022.00030(245-262)Online publication date: Oct-2022
      • (2020)SALE: Smartly Allocating Low-Cost Many-Bit ECC for Mitigating Read and Write Errors in STT-RAM CachesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2020.2977131(1-14)Online publication date: 2020
      • (2020)On-chip cache memory protection with tag overflow buffers and VLSI implementationMaterials Today: Proceedings10.1016/j.matpr.2020.11.539Online publication date: Dec-2020
      • (2019)RAW-TagIEEE Transactions on Dependable and Secure Computing10.1109/TDSC.2017.270626316:4(651-664)Online publication date: 1-Jul-2019
      • (2019)Soft Error Resilience in Chip Multiprocessor Cache using a Markov Model Based Re-usability Predictor2019 IEEE 37th International Conference on Computer Design (ICCD)10.1109/ICCD46524.2019.00072(468-476)Online publication date: Nov-2019
      • (2019)Improving Reliability of Multi-/Many-Core Processors by Using NMR-MPar ApproachRadiation Effects on Integrated Circuits and Systems for Space Applications10.1007/978-3-030-04660-6_8(175-203)Online publication date: 11-Apr-2019
      • (2018)Low Overhead Tag Error Mitigation for GPU Architectures2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN)10.1109/DSN.2018.00041(314-321)Online publication date: Jun-2018
      • (2018)Parity++: Lightweight Error Correction for Last Level Caches2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W)10.1109/DSN-W.2018.00048(114-120)Online publication date: Jun-2018
      • (2017)Redundant Memory Array Architecture for Efficient Selective ProtectionACM SIGARCH Computer Architecture News10.1145/3140659.308021345:2(214-227)Online publication date: 24-Jun-2017
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media