Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3297858.3304023acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
research-article
Open access

Tackling the Qubit Mapping Problem for NISQ-Era Quantum Devices

Published: 04 April 2019 Publication History
  • Get Citation Alerts
  • Abstract

    Due to little considerations in the hardware constraints, e.g., limited connections between physical qubits to enable two-qubit gates, most quantum algorithms cannot be directly executed on the Noisy Intermediate-Scale Quantum (NISQ) devices. Dynamically remapping logical qubits to physical qubits in the compiler is needed to enable the two-qubit gates in the algorithm, which introduces additional operations and inevitably reduces the fidelity of the algorithm. Previous solutions in finding such remapping suffer from high complexity, poor initial mapping quality, and limited flexibility and control. To address these drawbacks mentioned above, this paper proposes a SWAP-based Bidirectional heuristic search algorithm (SABRE), which is applicable to NISQ devices with arbitrary connections between qubits. By optimizing every search attempt, globally optimizing the initial mapping using a novel reverse traversal technique, introducing the decay effect to enable the trade-off between the depth and the number of gates of the entire algorithm, SABRE outperforms the best known algorithm with exponential speedup and comparable or better results on various benchmarks.

    References

    [1]
    Mohammad AlFailakawi, Imtiaz Ahmad, and Suha Hamdan. 2014. Lnn reversible circuit realization using fast harmony search based heuristic. In Asia-Pacific Conference on Computer Science and Electrical Engineering .
    [2]
    Adriano Barenco, Charles H Bennett, Richard Cleve, David P DiVincenzo, Norman Margolus, Peter Shor, Tycho Sleator, John A Smolin, and Harald Weinfurter. 1995. Elementary gates for quantum computation. Physical review A, Vol. 52, 5 (1995), 3457.
    [3]
    Anirban Bhattacharjee, Chandan Bandyopadhyay, Robert Wille, Rolf Drechsler, and Hafizur Rahaman. 2018. A Novel Approach for Nearest Neighbor Realization of 2D Quantum Circuits. In Proceedings of IEEE Computer Society Annual Symposium on VLSI. IEEE.
    [4]
    Debjyoti Bhattacharjee and Anupam Chattopadhyay. 2017. Depth-optimal quantum circuit placement for arbitrary topologies. arXiv preprint arXiv:1703.08540 (2017).
    [5]
    Sergio Boixo, Sergei V Isakov, Vadim N Smelyanskiy, Ryan Babbush, Nan Ding, Zhang Jiang, Michael J Bremner, John M Martinis, and Hartmut Neven. 2018. Characterizing quantum supremacy in near-term devices. Nature Physics, Vol. 14, 6 (2018), 595.
    [6]
    Kyle EC Booth, Minh Do, J Christopher Beck, Eleanor Rieffel, Davide Venturelli, and Jeremy Frank. 2018. Comparing and Integrating Constraint Programming and Temporal Planning for Quantum Circuit Compilation. arXiv preprint arXiv:1803.06775 (2018).
    [7]
    Gregory J Chaitin. 1982. Register allocation & spilling via graph coloring. In ACM Sigplan Notices, Vol. 17. ACM, 98--105.
    [8]
    Amlan Chakrabarti, Susmita Sur-Kolay, and Ayan Chaudhury. 2011. Linear nearest neighbor synthesis of reversible circuits by graph partitioning. arXiv preprint arXiv:1112.0564 (2011).
    [9]
    Yu Chen, C Neill, P Roushan, N Leung, M Fang, R Barends, J Kelly, B Campbell, Z Chen, B Chiaro, and A Dunsworth. 2014. Qubit architecture with high coherence and fast tunable coupling. Physical review letters, Vol. 113, 22 (2014), 220502.
    [10]
    Frederic T Chong, Diana Franklin, and Margaret Martonosi. 2017. Programming languages and compiler design for realistic quantum hardware. Nature, Vol. 549 (2017), 180.
    [11]
    Josep M Codina, Jesús Sánchez, and Antonio González. 2001. A unified modulo scheduling and register allocation technique for clustered processors. In pact. IEEE, 0175.
    [12]
    Robert W Floyd. 1962. Algorithm 97: shortest path. Commun. ACM, Vol. 5, 6 (1962), 345.
    [13]
    X Fu, M. A. Rol, C. C. Bultink, J. van Someren, N. Khammassi, I. Ashraf, R. F. L. Vermeulen, J. C. de Sterke, W. J. Vlothuizen, R. N. Schouten, C. G. Almudever, L. DiCarlo, and K. Bertels. 2017. An experimental microarchitecture for a superconducting quantum processor. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE/ACM, 813--825.
    [14]
    Alexander S Green, Peter LeFanu Lumsdaine, Neil J Ross, Peter Selinger, and Beno^it Valiron. 2013. Quipper: a scalable quantum programming language. In ACM SIGPLAN Notices, Vol. 48. ACM, 333--342. Issue 6.
    [15]
    Lov K Grover. 1996. A fast quantum mechanical algorithm for database search. In Proceedings of the twenty-eighth annual ACM symposium on Theory of computing. ACM, 212--219.
    [16]
    Jeff Heckey, Shruti Patil, Ali JavadiAbhari, Adam Holmes, Daniel Kudrow, Kenneth R Brown, Diana Franklin, Frederic T Chong, and Margaret Martonosi. 2015. Compiler management of communication and parallelism for quantum computation. ACM SIGARCH Computer Architecture News, Vol. 43, 1 (2015), 445--456.
    [17]
    John L Hennessy and Thomas Gross. 1983. Postpass code optimization of pipeline constraints. ACM Transactions on Programming Languages and Systems (TOPLAS), Vol. 5, 3 (1983), 422--448.
    [18]
    IBM. 2018. IBM Q Experience Device. https://quantumexperience.ng.bluemix.net/qx/devices .
    [19]
    IBM. 2018. QISKit, Open Source Quantum Information Science Kit. https://qiskit.org/.
    [20]
    Ali Javadi-Abhari, Pranav Gokhale, Adam Holmes, Diana Franklin, Kenneth R Brown, Margaret Martonosi, and Frederic T Chong. 2017. Optimized surface code communication in superconducting quantum computers. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 692--705.
    [21]
    Ali JavadiAbhari, Shruti Patil, Daniel Kudrow, Jeff Heckey, Alexey Lvov, Frederic T Chong, and Margaret Martonosi. 2014. ScaffCC: a framework for compilation and analysis of quantum computing programs. In Proceedings of the 11th ACM Conference on Computing Frontiers. ACM, 1.
    [22]
    Jeremy Hsu. 2018. CES 2018: Intel's 49-Qubit Chip Shoots for Quantum Supremacy. https://spectrum.ieee.org/tech-talk/computing/hardware/intels-49qubit-chip-aims-for-quantum-supremacy .
    [23]
    Julian Kelly. 2017. A Preview of Bristlecone, Google's New Quantum Processor. https://ai.googleblog.com/2018/03/a-preview-of-bristlecone-googles-new.html .
    [24]
    Julian Kelly, R Barends, AG Fowler, A Megrant, E Jeffrey, TC White, D Sank, JY Mutus, B Campbell, Yu Chen, and Z Chen. 2015. State preservation by repetitive error detection in a superconducting quantum circuit. Nature, Vol. 519, 7541 (2015), 66.
    [25]
    Jens Koch, M Yu Terri, Jay Gambetta, Andrew A Houck, DI Schuster, J Majer, Alexandre Blais, Michel H Devoret, Steven M Girvin, and Robert J Schoelkopf. 2007. Charge-insensitive qubit design derived from the Cooper pair box. Physical Review A, Vol. 76, 4 (2007), 042319.
    [26]
    Abhoy Kole, Kamalika Datta, and Indranil Sengupta. 2016. A Heuristic for Linear Nearest Neighbor Realization of Quantum Circuits by SWAP Gate Insertion Using N-Gate Lookahead. IEEE J. Emerg. Sel. Topics Circuits Syst., Vol. 6, 1 (2016), 62--72.
    [27]
    Abhoy Kole, Kamalika Datta, and Indranil Sengupta. 2018. A New Heuristic for $ N $-Dimensional Nearest Neighbor Realization of a Quantum Circuit. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 37, 1 (2018), 182--192.
    [28]
    L Lao, B van Wee, I Ashraf, J van Someren, N Khammassi, K Bertels, and CG Almudever. 2018. Mapping of Lattice Surgery-based Quantum Circuits on Surface Code Architectures. arXiv:1805.11127 (2018).
    [29]
    Chia-Chun Lin, Susmita Sur-Kolay, and Niraj K Jha. 2015. PAQCS: Physical design-aware fault-tolerant quantum circuit synthesis. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 23, 7 (2015), 1221--1234.
    [30]
    Aaron Lye, Robert Wille, and Rolf Drechsler. 2015. Determining the minimal number of swap gates for multi-dimensional nearest neighbor quantum circuits. In Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific. IEEE, 178--183.
    [31]
    Dmitri Maslov, Sean M Falconer, and Michele Mosca. 2008. Quantum Circuit Placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27, 4 (2008), 752--763.
    [32]
    Michael A Nielsen and Isaac L Chuang. 2010. Quantum Computation and Quantum Information. Quantum Computation and Quantum Information, by Michael A. Nielsen, Isaac L. Chuang, Cambridge, UK: Cambridge University Press, 2010 (2010).
    [33]
    Daniel Nigg, Markus Mueller, Esteban A Martinez, Philipp Schindler, Markus Hennrich, Thomas Monz, Miguel A Martin-Delgado, and Rainer Blatt. 2014. Quantum computations on a topologically encoded qubit. Science (2014), 1253742.
    [34]
    Angelo Oddi and Riccardo Rasconi. 2018. Greedy Randomized Search for Scalable Compilation of Quantum Circuits. In International Conference on the Integration of Constraint Programming, Artificial Intelligence, and Operations Research. Springer, 446--461.
    [35]
    Alexandru Paler, Ilia Polian, Kae Nemoto, and Simon J Devitt. 2017. Fault-tolerant, high-level quantum circuits: form, compilation and description. Quantum Science and Technology, Vol. 2, 2 (2017), 025003.
    [36]
    Alberto Peruzzo, Jarrod McClean, Peter Shadbolt, Man-Hong Yung, Xiao-Qi Zhou, Peter J Love, Alán Aspuru-Guzik, and Jeremy L O'brien. 2014. A variational eigenvalue solver on a photonic quantum processor. Nature communications, Vol. 5 (2014), 4213.
    [37]
    Massimiliano Poletto and Vivek Sarkar. 1999. Linear scan register allocation. ACM Transactions on Programming Languages and Systems (TOPLAS), Vol. 21, 5 (1999), 895--913.
    [38]
    John Preskill. 2012. Quantum computing and the entanglement frontier. arXiv preprint arXiv:1203.5813 (2012).
    [39]
    John Preskill. 2018. Quantum Computing in the NISQ era and beyond. arXiv preprint arXiv:1801.00862 (2018).
    [40]
    Rigetti. 2018. The Quantum Processing Unit (QPU). http://docs.rigetti.com/en/latest/qpu.html .
    [41]
    Robert Wille. 2018. Mapping to the IBM QX Architectures. http://iic.jku.at/eda/research/ibm_qx_mapping/.
    [42]
    Mehdi Saeedi, Robert Wille, and Rolf Drechsler. 2011. Synthesis of quantum circuits for linear nearest neighbor architectures. Quantum Information Processing, Vol. 10, 3 (2011), 355--377.
    [43]
    Mark Saffman, Thad G Walker, and Klaus Mølmer. 2010. Quantum information with Rydberg atoms. Reviews of Modern Physics, Vol. 82, 3 (2010), 2313.
    [44]
    Eyob A Sete, William J Zeng, and Chad T Rigetti. 2016. A functional architecture for scalable quantum computing. In Rebooting Computing (ICRC), IEEE International Conference on. IEEE, 1--6.
    [45]
    Alireza Shafaei, Mehdi Saeedi, and Massoud Pedram. 2013. Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures. In Proceedings of the 50th Annual Design Automation Conference. ACM, 41.
    [46]
    Alireza Shafaei, Mehdi Saeedi, and Massoud Pedram. 2014. Qubit placement to minimize communication overhead in 2D quantum architectures. In Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific. IEEE, 495--500.
    [47]
    Peter W Shor. 1999. Polynomial-time algorithms for prime factorization and discrete logarithms on a quantum computer. SIAM review, Vol. 41, 2 (1999), 303--332.
    [48]
    Ritu Ranjan Shrivastwa, Kamalika Datta, and Indranil Sengupta. 2015. Fast qubit placement in 2D architecture using nearest neighbor realization. In Nanoelectronic and Information Systems (iNIS), 2015 IEEE International Symposium on. IEEE, 95--100.
    [49]
    Marcos Yukio Siraichi, Vin'icius Fernandes dos Santos, Sylvain Collange, and Fernando Magno Quint ao Pereira. 2018. Qubit allocation. In Proceedings of the 2018 International Symposium on Code Generation and Optimization. ACM, 113--125.
    [50]
    Swamit S Tannu and Moinuddin K Qureshi. 2018. A Case for Variability-Aware Policies for NISQ-Era Quantum Computers. arXiv preprint arXiv:1805.10224 (2018).
    [51]
    Robert M Tomasulo. 1967. An efficient algorithm for exploiting multiple arithmetic units. IBM Journal of research and Development, Vol. 11, 1 (1967), 25--33.
    [52]
    Rodney Van Meter and Clare Horsman. 2013. A blueprint for building a quantum computer. Commun. ACM, Vol. 56 (2013), 84--93.
    [53]
    Davide Venturelli, Minh Do, Eleanor Rieffel, and Jeremy Frank. 2017. Temporal planning for compilation of quantum approximate optimization circuits. In Proceedings of the Twenty-Sixth International Joint Conference on Artificial Intelligence, IJCAI. 4440--4446.
    [54]
    Davide Venturelli, Minh Do, Eleanor Rieffel, and Jeremy Frank. 2018. Compiling quantum circuits to realistic hardware architectures using temporal planners. Quantum Science and Technology, Vol. 3, 2 (2018), 025004.
    [55]
    Theodore Walter, Philipp Kurpiers, Simone Gasparinetti, Paul Magnard, Anton Potovc nik, Yves Salathé, Marek Pechal, Mintu Mondal, Markus Oppliger, Christopher Eichler, and Andreas Wallraff. 2017. Rapid high-fidelity single-shot dispersive readout of superconducting qubits. Physical Review Applied, Vol. 7, 5 (2017), 054020.
    [56]
    Will Knight. 2017. IBM Raises the Bar with a 50-Qubit Quantum Computer. https://www.technologyreview.com/s/609451/ibm-raises-the-bar-with-a-50-qubit-quantum-computer/.
    [57]
    Robert Wille, Daniel Große, Lisa Teuber, Gerhard W Dueck, and Rolf Drechsler. 2008. RevLib: An online resource for reversible functions and reversible circuits. In Multiple Valued Logic, 2008. ISMVL 2008. 38th International Symposium on. IEEE, 220--225.
    [58]
    Robert Wille, Oliver Keszocze, Marcel Walter, Patrick Rohrs, Anupam Chattopadhyay, and Rolf Drechsler. 2016. Look-ahead schemes for nearest neighbor optimization of 1D and 2D quantum circuits. In Design Automation Conference (ASP-DAC), 2016 21st Asia and South Pacific. IEEE, 292--297.
    [59]
    Robert Wille, Aaron Lye, and Rolf Drechsler. 2014. Optimal SWAP gate insertion for nearest neighbor quantum circuits. In Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific. IEEE, 489--494.
    [60]
    DM Zajac, TM Hazard, Xiao Mi, E Nielsen, and JR Petta. 2016. Scalable gate architecture for a one-dimensional array of semiconductor spin qubits. Physical Review Applied, Vol. 6, 5 (2016), 054013.
    [61]
    Alwin Zulehner, Alexandru Paler, and Robert Wille. 2018. Efficient mapping of quantum circuits to the IBM QX architectures. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018. IEEE, 1135--1138.

    Cited By

    View all
    • (2024)Compiling Quantum Circuits for Dynamically Field-Programmable Neutral Atoms Array ProcessorsQuantum10.22331/q-2024-03-14-12818(1281)Online publication date: 14-Mar-2024
    • (2024)Minimizing Coherence Errors via Dynamic DecouplingProceedings of the 38th ACM International Conference on Supercomputing10.1145/3650200.3656617(164-175)Online publication date: 30-May-2024
    • (2024)A New Routing Strategy to Improve Success Rates of Quantum ComputersProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3658790(546-550)Online publication date: 12-Jun-2024
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ASPLOS '19: Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems
    April 2019
    1126 pages
    ISBN:9781450362405
    DOI:10.1145/3297858
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 04 April 2019

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. NISQ
    2. quantum computing
    3. qubit mapping

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    ASPLOS '19

    Acceptance Rates

    ASPLOS '19 Paper Acceptance Rate 74 of 351 submissions, 21%;
    Overall Acceptance Rate 535 of 2,713 submissions, 20%

    Upcoming Conference

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1,389
    • Downloads (Last 6 weeks)131

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Compiling Quantum Circuits for Dynamically Field-Programmable Neutral Atoms Array ProcessorsQuantum10.22331/q-2024-03-14-12818(1281)Online publication date: 14-Mar-2024
    • (2024)Minimizing Coherence Errors via Dynamic DecouplingProceedings of the 38th ACM International Conference on Supercomputing10.1145/3650200.3656617(164-175)Online publication date: 30-May-2024
    • (2024)A New Routing Strategy to Improve Success Rates of Quantum ComputersProceedings of the Great Lakes Symposium on VLSI 202410.1145/3649476.3658790(546-550)Online publication date: 12-Jun-2024
    • (2024)QuCloud+: A Holistic Qubit Mapping Scheme for Single/Multi-programming on 2D/3D NISQ Quantum ComputersACM Transactions on Architecture and Code Optimization10.1145/363152521:1(1-27)Online publication date: 18-Jan-2024
    • (2024)Scheduling and Physical DesignProceedings of the 2024 International Symposium on Physical Design10.1145/3626184.3635290(219-225)Online publication date: 12-Mar-2024
    • (2024)SMT-Based Layout Synthesis Approaches for Quantum CircuitsProceedings of the 2024 International Symposium on Physical Design10.1145/3626184.3633316(235-243)Online publication date: 12-Mar-2024
    • (2024)Red-QAOA: Efficient Variational Optimization through Circuit ReductionProceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 210.1145/3620665.3640363(980-998)Online publication date: 27-Apr-2024
    • (2024)Elivagar: Efficient Quantum Circuit Search for ClassificationProceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 210.1145/3620665.3640354(336-353)Online publication date: 27-Apr-2024
    • (2024)Task-Driven Quantum Device Fingerprint Identification via Modeling QNN Outcome Shift Induced by Quantum NoiseCompanion Proceedings of the ACM on Web Conference 202410.1145/3589335.3651567(557-560)Online publication date: 13-May-2024
    • (2024)On the optimality of quantum circuit initial mapping using reinforcement learningEPJ Quantum Technology10.1140/epjqt/s40507-024-00225-111:1Online publication date: 13-Mar-2024
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media