Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3352460.3358277acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article
Open access

MANIC: A Vector-Dataflow Architecture for Ultra-Low-Power Embedded Systems

Published: 12 October 2019 Publication History

Abstract

Ultra-low-power sensor nodes enable many new applications and are becoming increasingly pervasive and important. Energy efficiency is the key determinant of the value of these devices: battery-powered nodes want their battery to last, and nodes that harvest energy should minimize their time spent recharging. Unfortunately, current devices are energy-inefficient.
In this work, we present MANIC, a new, highly energy-efficient architecture targeting the ultra-low-power sensor domain. MANIC achieves high energy-efficiency while maintaining programmability and generality. MANIC introduces vector-dataflow execution, allowing it to exploit the dataflows in a sequence of vector instructions and amortize instruction fetch and decode over a whole vector of operations. By forwarding values from producers to consumers, MANIC avoids costly vector register file accesses. By carefully scheduling code and avoiding dead register writes, MANIC avoids costly vector register writes. Across seven benchmarks, MANIC is on average 2.8× more energy efficient than a scalar baseline, 38.1% more energy-efficient than a vector baseline, and gets to within 26.4% of an idealized design.

References

[1]
Yunsup Lee Andrew Waterman, Tim Newsome. 2019. RISCV ISA Sim. https://github.com/riscv/riscv-isa-sim
[2]
ARM. 2019. ARM NEON. https://developer.arm.com/architectures/instruction-sets/simd-isas/neon
[3]
Krste Asanovic, James Beck, Bertrand Irissou, Brian ED Kingsbury, and John Wawrzynek. 1996. T0: A single-chip vector microprocessor with reconfigurable pipelines. In ESSCIRC'96: Proceedings of the 22nd European Solid-State Circuits Conference. IEEE, 344--347.
[4]
Görkem Aşılıoğlu, Zhaoxiang Jin, Murat Köksal, Omkar Javeri, and Soner Önder. 2015. LaZy superscalar. In 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA). IEEE, 260--271.
[5]
James Balfour, William Dally, David Black-Schaffer, Vishal Parikh, and JongSoo Park. 2008. An energy-efficient processor architecture for embedded systems. IEEE Computer Architecture Letters 7, 1 (2008), 29--32.
[6]
James Balfour, Richard Harting, and William Dally. 2009. Operand registers and explicit operand forwarding. IEEE Computer Architecture Letters 8, 2 (2009), 60--63.
[7]
James David. Balfour, William J. Dally, Mark Horowitz, and Christoforos Kozyrakis. 2010. Efficient embedded computing. Ph.D. Dissertation.
[8]
Deniz Balkan, Joseph Sharkey, Dmitry Ponomarev, and Kanad Ghose. 2006. SPARTAN: speculative avoidance of register allocations to transient values for performance and energy efficiency. In Proceedings of the 15th international conference on Parallel architectures and compilation techniques. ACM, 265--274.
[9]
D. Balsamo, A. Weddell, A. Das, A. Arreola, D. Brunelli, B. Al-Hashimi, G. Merrett, and L. Benini. 2016. Hibernus++: A Self-Calibrating and Adaptive System for Transiently-Powered Embedded Devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems PP, 99 (2016), 1--1. https://doi.org/10.1109/TCAD.2016.2547919
[10]
D. Balsamo, A.S. Weddell, G.V. Merrett, B.M. Al-Hashimi, D. Brunelli, and L. Benini. 2014. Hibernus: Sustaining Computation during Intermittent Supply for Energy-Harvesting Systems. Embedded Systems Letters, IEEE PP, 99 (2014), 1--1. https://doi.org/10.1109/LES.2014.2371494
[11]
Lorenzo Bettini, Pilu Crescenzi, Gaia Innocenti, and Michele Loreti. 2004. An environment for self-assessing Java programming skills in first programming courses. In IEEE International Conference on Advanced Learning Technologies, 2004. Proceedings. IEEE, 161--165.
[12]
Anne Bracy, Prashant Prahlad, and Amir Roth. 2004. Dataflow mini-graphs: Amplifying superscalar capacity and bandwidth. In 37th International Symposium on Microarchitecture (MICRO-37'04). IEEE, 18--29.
[13]
Tianshi Chen, Zidong Du, Ninghui Sun, Jia Wang, Chengyong Wu, Yunji Chen, and Olivier Temam. 2014. DianNao: a small-footprint high-throughput accelerator for ubiquitous machine-learning. In Proc. of the 19th intl. conf. on Architectural Support for Programming Languages and Operating Systems.
[14]
Yunji Chen, Tao Luo, Shaoli Liu, Shijin Zhang, Liqiang He, Jia Wang, Ling Li, Tianshi Chen, Zhiwei Xu, Ninghui Sun, et al. 2014. Dadiannao: A machine-learning supercomputer. In Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 609--622.
[15]
Yu-Hsin Chen, Joel Emer, and Vivienne Sze. 2016. Eyeriss: A spatial architecture for energy-efficient dataflow for convolutional neural networks. In Proc. of the 43rd annual Intl. Symp. on Computer Architecture (Proc. ISCA-43).
[16]
Tsai-Kan Chien, Lih-Yih Chiou, Shyh-Shyuan Sheu, Jing-Cian Lin, Chang-Chia Lee, Tzu-Kun Ku, Ming-Jinn Tsai, and Chih-I Wu. 2016. Low-power MCU with embedded ReRAM buffers as sensor hub for IoT applications. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 6, 2 (2016), 247--257.
[17]
Silviu Ciricescu, Ray Essick, Brian Lucas, Phil May, Kent Moat, Jim Norris, Michael Schuette, and Ali Saidi. 2003. The reconfigurable streaming vector processor (RSVPTM). In Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 141.
[18]
Alexei Colin and Brandon Lucia. 2016. Chain: Tasks and Channels for Reliable Intermittent Programs. In Proceedings of the ACM International Conference on Object Oriented Programming Systems Languages and Applications (OOPSLA).
[19]
Alexei Colin, Emily Ruppel, and Brandon Lucia. 2018. A Reconfigurable Energy Storage Architecture for Energy-harvesting Devices. In ASPLOS.
[20]
NVIDIA Corporation. 2009. NVIDIA's next generation CUDA compute architecture: Fermi. (2009).
[21]
Cray Computer. 2003. U.S. Patent 6,665,774.
[22]
David Culler, Jason Hill, Mike Horton, Kris Pister, Robert Szewczyk, and Alec Wood. 2002. Mica: The commercialization of microsensor motes. Sensor Technology and Design, April (2002).
[23]
Jack B Dennis. 1980. Data flow supercomputers. Computer 11 (1980), 48--56.
[24]
Jack B Dennis and Guang R Gao. 1988. An efficient pipelined dataflow processor architecture. In Proceedings of the 1988 ACM/IEEE conference on Supercomputing. IEEE Computer Society Press, 368--373.
[25]
Jack B Dennis and David P Misunas. 1975. A preliminary architecture for a basic data-flow processor. In ACM SIGARCH Computer Architecture News, Vol. 3. ACM, 126--132.
[26]
Adwait Dongare, Craig Hesling, Khushboo Bhatia, Artur Balanuta, Ricardo Lopes Pereira, Bob Iannucci, and Anthony Rowe. 2017. OpenChirp: A low-power wide-area networking architecture. In Pervasive Computing and Communications Workshops (PerCom Workshops), 2017 IEEE International Conference on. IEEE, 569--574.
[27]
Zidong Du, Robert Fasthuber, Tianshi Chen, Paolo Ienne, Ling Li, Tao Luo, Xiaobing Feng, Yunji Chen, and Olivier Temam. 2015. ShiDianNao: Shifting vision processing closer to the sensor. In Proc. of the 42nd annual Intl. Symp. on Computer Architecture (Proc. ISCA-42).
[28]
Nadeem Firasta, Mark Buxton, Paula Jinbo, Kaveh Nasri, and Shihjong Kuo. 2008. Intel AVX: New frontiers in performance improvements and energy efficiency. Intel white paper 19, 20 (2008).
[29]
HSA Foundation. 2018. HSA Platform System Architecture Specification. http://www.hsafoundation.com/standards/
[30]
Karthik Ganesan, Joshua San Miguel, and Natalie Enright Jerger. 2019. The What's Next Intermittent Computing Architecture. In 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 211--223.
[31]
Mark Gebhart, Daniel R Johnson, David Tarjan, Stephen W Keckler, William J Dally, Erik Lindholm, and Kevin Skadron. 2011. Energy-efficient mechanisms for managing thread context in throughput processors. In 2011 38th Annual International Symposium on Computer Architecture (ISCA). IEEE, 235--246.
[32]
Graham Gobieski, Brandon Lucia, and Nathan Beckmann. 2019. Intelligence Beyond the Edge: Inference on Intermittent Embedded Systems. In ASPLOS.
[33]
Venkatraman Govindaraju, Chen-Han Ho, Tony Nowatzki, Jatin Chhugani, Nadathur Satish, Karthikeyan Sankaralingam, and Changkyu Kim. 2012. Dyser: Unifying functionality and parallelism specialization for energy-efficient computing. IEEE Micro 32, 5 (2012), 38--51.
[34]
Rehan Hameed, Wajahat Qadeer, Megan Wachs, Omid Azizi, Alex Solomatnikov, Benjamin C Lee, Stephen Richardson, Christos Kozyrakis, and Mark Horowitz. 2010. Understanding sources of inefficiency in general-purpose chips. In ACM SIGARCH Computer Architecture News, Vol. 38. ACM, 37--47.
[35]
Josiah Hester, Lanny Sitanayah, and Jacob Sorber. 2015. Tragedy of the Coulombs: Federating Energy Storage for Tiny, Intermittently-Powered Sensors. In Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems (SenSys '15). ACM, New York, NY, USA, 5--16. https://doi.org/10.1145/2809695.2809707
[36]
Josiah Hester and Jacob Sorber. [n. d.]. Flicker: Rapid Prototyping for the Batteryless Internet of Things. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems (SenSys '17).
[37]
Josiah Hester, Kevin Storer, and Jacob Sorber. [n. d.]. Timely Execution on Intermi!ently Powered Ba!eryless Sensors. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems (SenSys '17).
[38]
Matthew Hicks. 2017. Clank: Architectural Support for Intermittent Computation. In Proceedings of the 44th Annual International Symposium on Computer Architecture (ISCA '17). ACM, New York, NY, USA, 228--240. https://doi.org/10.1145/3079856.3080238
[39]
Mark Horowitz. 2014. Computing's energy problem (and what we can do about it). In Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International. IEEE, 10--14.
[40]
Yiming Huai et al. 2008. Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects. AAPPS bulletin 18, 6 (2008), 33--40.
[41]
Texas Instruments. 2017. MSP430fr5994 SLA. http://www.ti.com/lit/ds/symlink/msp430fr5994.pdf
[42]
Texas Instruments. 2018. Low Energy Accelerator FAQ. http://www.ti.com/lit/an/slaa720/slaa720.pdf
[43]
ISOCPP. 2019. CPP Spec. https://isocpp.org/std/the-standard
[44]
Neal Jackson. 2019. lab11/permamote. https://github.com/lab11/permamote
[45]
H. Jayakumar, A. Raha, and V. Raghunathan. 2014. QuickRecall: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers. In Int'l Conf. on VLSI Design and Int'l Conf. on Embedded Systems.
[46]
Hyeran Jeon, Gokul Subramanian Ravi, Nam Sung Kim, and Murali Annavaram. 2015. GPU register file virtualization. In Proceedings of the 48th International Symposium on Microarchitecture. ACM, 420--432.
[47]
Timothy M Jones, MFR O'Boyle, Jaume Abella, Antonio Gonzalez, and Oguz Ergin. 2005. Compiler directed early register release. In 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05). IEEE, 110--119.
[48]
Ho-Seop Kim and James E Smith. 2002. An instruction set and microarchitecture for instruction level distributed processing. In Proceedings 29th Annual International Symposium on Computer Architecture. IEEE, 71--81.
[49]
Christos Kozyrakis and David Patterson. 2003. Overcoming the limitations of conventional vector processors. ACM SIGARCH Computer Architecture News 31, 2 (2003), 399--409.
[50]
Gierad Laput, Yang Zhang, and Chris Harrison. 2017. Synthetic sensors: Towards general-purpose sensing. In Proceedings of the 2017 CHI Conference on Human Factors in Computing Systems. ACM, 3986--3999.
[51]
Daofu Liu, Tianshi Chen, Shaoli Liu, Jinhong Zhou, Shengyuan Zhou, Olivier Teman, Xiaobing Feng, Xuehai Zhou, and Yunji Chen. 2015. Pudiannao: A polyvalent machine learning accelerator. In ACM SIGARCH Computer Architecture News, Vol. 43. ACM, 369--381.
[52]
Ting Liu, Christopher M. Sadler, Pei Zhang, and Margaret Martonosi. 2004. Implementing Software on Resource-constrained Mobile Sensors: Experiences with Impala and ZebraNet. In Proceedings of the 2Nd International Conference on Mobile Systems, Applications, and Services (MobiSys '04). ACM, New York, NY, USA, 256--269. https://doi.org/10.1145/990064.990095
[53]
Brandon Lucia and Benjamin Ransford. 2015. A Simpler, Safer Programming and Execution Model for Intermittent Systems. In Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI 2015). ACM, New York, NY, USA, 575--585. https://doi.org/10.1145/2737924.2737978
[54]
Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Jack Sampson, Mahmut Taylan Kandemir, and Vijaykrishnan Narayanan. 2017. Incidental computing on IoT nonvolatile processors. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 204--218.
[55]
Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan. 2015. Architecture exploration for ambient energy harvesting nonvolatile processors. In High Performance Computer Architecture (HPCA), 2015 IEEE 21st International Symposium on. IEEE, 526--537.
[56]
Kiwan Maeng, Alexei Colin, and Brandon Lucia. 2017. Alpaca: Intermittent Execution without Checkpoints. In Proceedings of the ACM International Conference on Object Oriented Programming Systems Languages and Applications (OOPSLA). ACM, Vancouver, BC, Canada.
[57]
Kiwan Maeng and Brandon Lucia. 2018. Adaptive Dynamic Checkpointing for Safe Efficient Intermittent Computing. In Proceedings of the 12th USENIX Conference on Operating Systems Design and Implementation (OSDI'18). USENIX Association, Berkeley, CA, USA, 129--144. http://dl.acm.org/citation.cfm?id=3291168.3291178
[58]
Kiwan Maeng and Brandon Lucia. 2019. Supporting Peripherals in Intermittent Systems with Just-In-Time Checkpoints. In PLDI.
[59]
J. San Miguel, K. Ganesan, M. Badr, and N. E. Jerger. 2018. The EH Model: Analytical Exploration of Energy-Harvesting Architectures. IEEE Computer Architecture Letters 17, 1 (Jan 2018), 76--79. https://doi.org/10.1109/LCA.2017.2777834
[60]
A. Mirhoseini, E. M. Songhori, and F. Koushanfar. 2013. Idetic: A High-level Synthesis Approach for Enabling Long Computations on Transiently-powered ASICs. In IEEE Pervasive Computing and Communication Conference (PerCom). http://aceslab.org/sites/default/files/Idetic.pdf
[61]
Saman Naderiparizi, Mehrdad Hessar, Vamsi Talla, Shyamnath Gollakota, and Joshua R Smith. 2018. Towards battery-free {HD} video streaming. In 15th {USENIX} Symposium on Networked Systems Design and Implementation ({NSDI} 18). 233--247.
[62]
Rishiyur S Nikhil et al. 1990. Executing a program on the MIT tagged-token dataflow architecture. IEEE Transactions on computers 39, 3 (1990), 300--318.
[63]
Tony Nowatzki, Vinay Gangadhar, Newsha Ardalani, and Karthikeyan Sankaralingam. 2017. Stream-dataflow acceleration. In 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA). IEEE, 416--429.
[64]
Nvidia. 2019. Nividia Jetson TX2. https://developer.nvidia.com/embedded/develop/hardware
[65]
D. Patterson, T. Anderson, and K. Yelick. 1996. A Case for Intelligent DRAM: IRAM. In Hot Chips VIII Symposium Record.
[66]
Vlad Petric, Tingting Sha, and Amir Roth. 2005. Reno: a rename-based instruction optimizer. In 32nd International Symposium on Computer Architecture (ISCA'05). IEEE, 98--109.
[67]
Matt Poremba, Sparsh Mittal, Dong Li, Jeffrey S Vetter, and Yuan Xie. 2015. Destiny: A tool for modeling emerging 3d nvm and edram caches. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. EDA Consortium, 1543--1546.
[68]
Raghu Prabhakar, Yaqi Zhang, David Koeplinger, Matt Feldman, Tian Zhao, Stefan Hadjis, Ardavan Pedram, Christos Kozyrakis, and Kunle Olukotun. 2017. Plasticine: A reconfigurable architecture for parallel patterns. In Computer Architecture (ISCA), 2017 ACM/IEEE 44th Annual International Symposium on. IEEE, 389--402.
[69]
Riscv. 2019. riscv-v-spec. https://github.com/riscv/riscv-v-spec
[70]
Anthony Rowe, Mario E Berges, Gaurav Bhatia, Ethan Goldman, Ragunathan Rajkumar, James H Garrett, José MF Moura, and Lucio Soibelman. 2011. Sensor Andrew: Large-scale campus-wide sensing and actuation. IBM Journal of Research and Development 55, 1.2 (2011), 6--1.
[71]
Emily Ruppel and Brandon Lucia. 2019. Transactional Concurrency Control for Intermittent, Energy Harvesting, Computing Systems. In PLDI.
[72]
Alanson P. Sample, Daniel J. Yeager, Pauline S. Powledge, Alexander V. Mamishev, and Joshua R. Smith. 2008. Design of an RFID-Based Battery-Free Programmable Sensing Platform. IEEE Transactions on Instrumentation and Measurement 57, 11 (Nov. 2008), 2608--2615.
[73]
Karthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Doug Burger, Stephen W Keckler, and Charles R Moore. 2003. Exploiting ILP, TLP, and DLP with the polymorphous TRIPS architecture. In Computer Architecture, 2003. Proceedings. 30th Annual International Symposium on. IEEE, 422--433.
[74]
Peter G Sassone and D Scott Wills. 2004. Dynamic strands: Collapsing speculative dependence chains for reducing pipeline communication. In 37th International Symposium on Microarchitecture (MICRO-37'04). IEEE, 7--17.
[75]
Andreas Sembrant, Trevor Carlson, Erik Hagersten, David Black-Shaffer, Arthur Perais, André Seznec, and Pierre Michaud. 2015. Long term parking (LTP): criticality-aware resource allocation in OOO processors. In 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 334--346.
[76]
Wilson Snyder. 2004. Verilator and systemperl. In North American SystemC Users' Group, Design Automation Conference.
[77]
Steven Swanson, Ken Michelson, Andrew Schwerin, and Mark Oskin. 2003. WaveScalar. In Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 291.
[78]
Andreas Traber. [n. d.]. PULPino: A small single-core RISC-V SoC.
[79]
Joel Van Der Woude and Matthew Hicks. 2016. Intermittent computation without hardware support or programmer intervention. In Proceedings of OSDI'16: 12th USENIX Symposium on Operating Systems Design and Implementation. 17.
[80]
Ganesh Venkatesh, Jack Sampson, Nathan Goulding, Saturnino Garcia, Vladyslav Bryksin, Jose Lugo-Martinez, Steven Swanson, and Michael Bedford Taylor. 2010. Conservation cores: reducing the energy of mature computations. In ACM SIGARCH Computer Architecture News, Vol. 38. ACM, 205--218.
[81]
Nandita Vijaykumar, Kevin Hsieh, Gennady Pekhimenko, Samira Khan, Ashish Shrestha, Saugata Ghose, Adwait Jog, Phillip B Gibbons, and Onur Mutlu. 2016. Zorua: A holistic approach to resource virtualization in GPUs. In The 49th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Press, 15.
[82]
Dani Voitsechov, Arslan Zulfiqar, Mark Stephenson, Mark Gebhart, and Stephen W. Keckler. 2018. Software-Directed Techniques for Improved GPU Register File Utilization. ACM Trans. Archit. Code Optim. 15, 3, Article 38 (Sept. 2018), 23 pages. https://doi.org/10.1145/3243905
[83]
John Wawrzynek, Krste Asanovic, Brian Kingsbury, David Johnson, James Beck, and Nelson Morgan. 1996. Spert-II: A vector microprocessor system. Computer 29, 3 (1996), 79--86.
[84]
Mark Wyse. [n. d.]. Understanding GPGPU Vector Register File Usage. ([n. d.]).
[85]
Yuan Xie. 2013. Emerging Memory Technologies: Design, Architecture, and Applications. Springer Science & Business Media.
[86]
Kasım Sinan Yıldırım, Amjad Yousef Majid, Dimitris Patoukas, Koen Schaper, Przemyslaw Pawelczak, and Josiah Hester. 2018. Ink: Reactive kernel for tiny batteryless sensors. In Proceedings of the 16th ACM Conference on Embedded Networked Sensor Systems. ACM, 41--53.
[87]
Hong Zhang, Jeremy Gummeson, Benjamin Ransford, and Kevin Fu. 2011. Moo: A batteryless computational RFID and sensing platform. Department of Computer Science, University of Massachusetts Amherst., Tech. Rep (2011).

Cited By

View all
  • (2024)R-Blocks: an Energy-Efficient, Flexible, and Programmable CGRAACM Transactions on Reconfigurable Technology and Systems10.1145/365664217:2(1-34)Online publication date: 8-Apr-2024
  • (2024)CRAM-Based Acceleration for Intermittent Computing of Parallelizable TasksIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2023.329342612:1(48-59)Online publication date: Jan-2024
  • (2024)UDIR: Towards a Unified Compiler Framework for Reconfigurable Dataflow ArchitecturesIEEE Computer Architecture Letters10.1109/LCA.2023.334213023:1(99-103)Online publication date: Jan-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
MICRO '52: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture
October 2019
1104 pages
ISBN:9781450369381
DOI:10.1145/3352460
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 12 October 2019

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Vector
  2. dataflow
  3. energy-harvesting
  4. low-power
  5. sensor

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Funding Sources

  • National Science Foundation Award
  • National Science Foundation Career Award

Conference

MICRO '52
Sponsor:

Acceptance Rates

Overall Acceptance Rate 484 of 2,242 submissions, 22%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)324
  • Downloads (Last 6 weeks)42
Reflects downloads up to 09 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2024)R-Blocks: an Energy-Efficient, Flexible, and Programmable CGRAACM Transactions on Reconfigurable Technology and Systems10.1145/365664217:2(1-34)Online publication date: 8-Apr-2024
  • (2024)CRAM-Based Acceleration for Intermittent Computing of Parallelizable TasksIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2023.329342612:1(48-59)Online publication date: Jan-2024
  • (2024)UDIR: Towards a Unified Compiler Framework for Reconfigurable Dataflow ArchitecturesIEEE Computer Architecture Letters10.1109/LCA.2023.334213023:1(99-103)Online publication date: Jan-2024
  • (2024)Revet: A Language and Compiler for Dataflow Threads2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00016(1-14)Online publication date: 2-Mar-2024
  • (2023)Pipestitch: An energy-minimal dataflow architecture with lightweight threadsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614283(1409-1422)Online publication date: 28-Oct-2023
  • (2023)Fine-grained Hardware Acceleration for Efficient Batteryless Intermittent Inference on the EdgeACM Transactions on Embedded Computing Systems10.1145/360847522:5(1-19)Online publication date: 26-Sep-2023
  • (2023)A Type System for Safe Intermittent ComputingProceedings of the ACM on Programming Languages10.1145/35912507:PLDI(736-760)Online publication date: 6-Jun-2023
  • (2023)Occamy: Elastically Sharing a SIMD Co-processor across Multiple CPU CoresProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 310.1145/3582016.3582046(483-497)Online publication date: 25-Mar-2023
  • (2023)Programmable Olfactory ComputingProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589061(1-14)Online publication date: 17-Jun-2023
  • (2023)Adaptive Task-Based Intermittent Computing System With Parallel State BackupIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.321398942:6(1798-1809)Online publication date: Jun-2023
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media