Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Public Access

MOOS: A Multi-Objective Design Space Exploration and Optimization Framework for NoC Enabled Manycore Systems

Published: 08 October 2019 Publication History
  • Get Citation Alerts
  • Abstract

    The growing needs of emerging applications has posed significant challenges for the design of optimized manycore systems. Network-on-Chip (NoC) enables the integration of a large number of processing elements (PEs) in a single die. To design optimized manycore systems, we need to establish suitable trade-offs among multiple objectives including power, performance, and thermal. Therefore, we consider multi-objective design space exploration (MO-DSE) problems arising in the design of NoC-enabled manycore systems: placement of PEs and communication links to optimize two or more objectives (e.g., latency, energy, and throughput). Existing algorithms to solve MO-DSE problems suffer from scalability and accuracy challenges as size of the design space and the number of objectives grow. In this paper, we propose a novel framework referred as Multi-Objective Optimistic Search (MOOS) that performs adaptive design space exploration using a data-driven model to improve the speed and accuracy of multi-objective design optimization process. We apply MOOS to design both 3D heterogeneous and homogeneous manycore systems using Rodinia, PARSEC, and SPLASH2 benchmark suites. We demonstrate that MOOS improves the speed of finding solutions compared to state-of-the-art methods by up to 13X while uncovering designs that are up to 20% better in terms of NoC. The optimized 3D manycore systems improve the EDP up to 38% when compared to 3D mesh-based designs optimized for the placement of PEs.

    References

    [1]
    Peter Auer, Nicolo Cesa-Bianchi, and Paul Fischer. 2002. Finite-time analysis of the multiarmed bandit problem. Machine Learning 47, 2--3 (2002), 235--256.
    [2]
    Sanghamitra Bandyopadhyay, Sriparna Saha, Ujjwal Maulik, and Kalyanmoy Deb. 2008. A simulated annealing-based multiobjective optimization algorithm: AMOSA. IEEE Transactions on Evolutionary Computation (TEC) 12, 3 (2008), 269--283.
    [3]
    Christian Bienia. 2011. Benchmarking Modern Multiprocessors. Ph.D. Dissertation. Princeton University.
    [4]
    Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, et al. 2011. The Gem5 simulator. ACM SIGARCH Computer Architecture News 39, 2 (2011), 1--7.
    [5]
    Shuai Che, Michael Boyer, Jiayuan Meng, David Tarjan, Jeremy W. Sheaffer, Sang-Ha Lee, and Kevin Skadron. 2009. Rodinia: A benchmark suite for heterogeneous computing. In IEEE International Symposium on Workload Characterization (IISWC). IEEE, 44--54.
    [6]
    Wonje Choi, Karthi Duraisamy, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu. 2018. On-chip communication network for efficient training of deep convolutional networks on heterogeneous manycore systems. IEEE Transactions on Computers (TC) 67, 5 (2018), 672--686.
    [7]
    Jason Cong, Jie Wei, and Yan Zhang. 2004. A thermal-driven floorplanning algorithm for 3D ICs. In Proceedings of the 2004 IEEE/ACM International Conference on Computer-aided Design. IEEE Computer Society, 306--313.
    [8]
    Indraneel Das and John E. Dennis. 1997. A closer look at drawbacks of minimizing weighted sums of objectives for pareto set generation in multicriteria optimization problems. Structural Optimization 14, 1 (1997), 63--69.
    [9]
    Sourav Das, Janardhan Rao Doppa, Daehyun Kim, Partha Pratim Pande, and Krishnendu Chakrabarty. 2015. Optimizing 3D NoC design for energy efficiency: A machine learning approach. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 705--712.
    [10]
    Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty. 2017. Design-space exploration and optimization of an energy-efficient and reliable 3-D small-world network-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 36, 5 (2017), 719--732.
    [11]
    Sourav Das, Janardhan Rao Doppa, Partha Pratim Pande, and Krishnendu Chakrabarty. 2017. Monolithic 3D-enabled high performance and energy efficient network-on-chip. In Proceedings of IEEE International Conference on Computer Design (ICCD). 233--240.
    [12]
    Kalyanmoy Deb, Amrit Pratap, Sameer Agarwal, and TAMT Meyarivan. 2002. A fast and elitist multiobjective genetic algorithm: NSGA-II. IEEE Transactions on Evolutionary Computation (TEC) 6, 2 (2002), 182--197.
    [13]
    Dominic DiTomaso, Ashif Sikder, Avinash Kodi, and Ahmed Louri. 2017. Machine learning enabled power-aware network-on-chip design. In Proceedings of the IEEE/ACM Conference on Design, Automation 8 Test in Europe (DATE). 1354--1359.
    [14]
    Daniel Hernández-Lobato, Jose Hernandez-Lobato, Amar Shah, and Ryan Adams. 2016. Predictive entropy search for multi-objective Bayesian optimization. In Proceedings of International Conference on Machine Learning (ICML). 1492--1501.
    [15]
    Yong Hu, Daniel Mueller-Gritschneder, and Ulf Schlichtmann. 2018. Wavefront-MCTS: Multi-objective design space exploration of NoC architectures based on Monte Carlo tree search. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). ACM, 102:1, 102:8.
    [16]
    Biresh Kumar Joardar, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu. 2018. Hybrid on-chip communication architectures for heterogeneous manycore systems. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). ACM, 62.
    [17]
    Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, and Partha Pratim Pande. 2019. Design and optimization of heterogeneous manycore systems enabled by emerging interconnect technologies: Promises and challenges. In Proceedings of IEEE/ACM International Conference on Design, Automation 8 Test in Europe Conference 8 Exhibition, (DATE). 138--143.
    [18]
    Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu. 2018. Learning-based application-agnostic 3D NoC design for heterogeneous manycore systems. IEEE Trans. Comput. 68, 6 (2018), 852--866.
    [19]
    Ryan Gary Kim, Janardhan Rao Doppa, and Partha Pratim Pande. 2018. Machine learning for design space exploration and optimization of manycore systems. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). IEEE, 48.
    [20]
    Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, and Radu Marculescu. 2018. Machine learning and manycore systems design: A serendipitous symbiosis. IEEE Computer 51, 7 (2018), 66--77.
    [21]
    Dongjin Lee, Sourav Das, Dae Hyun Kim, Janardhan Rao Doppa, and Partha Pratim Pande. 2018. Design space exploration of 3D network-on-chip: A sensitivity-based optimization approach. JETC 14, 3 (2018), 32:1--32:26.
    [22]
    Jingwen Leng, Tayler Hetherington, Ahmed ElTantawy, Syed Gilani, Nam Sung Kim, Tor M. Aamodt, and Vijay Janapa Reddi. 2013. GPUWattch: Enabling energy optimizations in GPGPUs. In ACM SIGARCH Computer Architecture News, Vol. 41. ACM, 487--498.
    [23]
    Sheng Li, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). ACM, 469--480.
    [24]
    Weichen Liu, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Xuan Wang, Wei Zhang, Mahdi Nikdast, and Zhehui Wang. 2011. A NoC traffic suite based on real applications. In 2011 IEEE Computer Society Annual Symposium on VLSI. IEEE, 66--71.
    [25]
    Xiaoxiao Liu, Wei Wen, Xuehai Qian, Hai Li, and Yiran Chen. 2018. Neu-NoC: A high-efficient interconnection network for accelerated neuromorphic systems. In ASP-DAC. IEEE, 141--146.
    [26]
    Martin Lukasiewycz, Michael Glaß, Christian Haubelt, and Jurgen Teich. 2007. Sat-decoding in evolutionary algorithms for discrete constrained optimization problems. In 2007 IEEE Congress on Evolutionary Computation. IEEE, 935--942.
    [27]
    Olav Lysne, Tor Skeie, S.-A. Reinemo, and Ingebjørg Theiss. 2006. Layered routing in irregular networks. IEEE Transactions on Parallel and Distributed Systems (TPDS) 17, 1 (2006).
    [28]
    Giovanni Mariani, Gianluca Palermo, Vittorio Zaccaria, and Cristina Silvano. 2012. OSCAR: An optimization methodology exploiting spatial correlation in multicore design spaces. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 31, 5 (2012), 740--753.
    [29]
    Hirotaka Nakayama, Yeboon Yun, and Min Yoon. 2009. Sequential Approximate Multiobjective Optimization using Computational Intelligence. Springer Science 8 Business Media.
    [30]
    Umit Y. Ogras and Radu Marculescu. 2006. “ It’s a small world after all”: NoC performance optimization via long-range link insertion. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14, 7 (2006), 693--706.
    [31]
    Berkin Ozisikyilmaz, Gokhan Memik, and Alok Choudhary. 2008. Efficient system design space exploration using machine learning techniques. In Proceedings of the 45th Annual Design Automation Conference (DAC). ACM, 966--969.
    [32]
    Jacopo Panerati, Donatella Sciuto, and Giovanni Beltrame. 2017. Optimization strategies in design space exploration. In Handbook of Hardware/Software Codesign. 189--216.
    [33]
    Jason Power, Joel Hestness, Marc S. Orr, Mark D. Hill, and David A. Wood. 2014. Gem5-GPU: A heterogeneous CPU-GPU simulator. IEEE Computer Architecture Letters 14, 1 (2014), 34--36.
    [34]
    Bobak Shahriari, Kevin Swersky, Ziyu Wang, Ryan P. Adams, and Nando De Freitas. 2015. Taking the human out of the loop: A review of Bayesian optimization. Proc. IEEE 104, 1 (2015), 148--175.
    [35]
    Arvind Sridhar, Alessandro Vincenzi, Martino Ruggiero, Thomas Brunschwiler, and David Atienza. 2010. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 463--470.
    [36]
    Christof Teuscher. 2007. Nature-inspired interconnects for self-assembled large-scale network-on-chip designs. Chaos: An Interdisciplinary Journal of Nonlinear Science 17, 2 (2007), 026106.
    [37]
    Ke Wang, Ahmed Louri, Avinash Karanth, and Razvan Bunescu. 2019. High-performance, energy-efficient, fault-tolerant network-on-chip design using reinforcement learnin. In 2019 Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE). IEEE, 1166--1171.
    [38]
    Paul Wettin, Ryan Kim, Jacob Murray, Xinmin Yu, Partha P. Pande, Amlan Ganguly, and Deukhyoun Heoamlan. 2014. Design space exploration for wireless NoCs incorporating irregular network routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 33, 11 (2014), 1732--1745.
    [39]
    Lyndon While, Philip Hingston, Luigi Barone, and Simon Huband. 2006. A faster algorithm for calculating hypervolume. IEEE Transactions on Evolutionary Computation (TEC) 10, 1 (2006), 29--38.
    [40]
    Steven Cameron Woo, Moriyoshi Ohara, Evan Torrie, Jaswinder Pal Singh, and Anoop Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. ACM SIGARCH Computer Architecture News 23, 2 (1995), 24--36.

    Cited By

    View all
    • (2024)Preference-Aware Constrained Multi-Objective Bayesian OptimizationProceedings of the 7th Joint International Conference on Data Science & Management of Data (11th ACM IKDD CODS and 29th COMAD)10.1145/3632410.3632427(182-191)Online publication date: 4-Jan-2024
    • (2023)MOELA: A Multi-Objective Evolutionary/Learning Design Space Exploration Framework for 3D Heterogeneous Manycore Platforms2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137276(1-6)Online publication date: Apr-2023
    • (2023)Explainable-DSE: An Agile and Explainable Exploration of Efficient HW/SW Codesigns of Deep Learning Accelerators Using Bottleneck AnalysisProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 410.1145/3623278.3624772(87-107)Online publication date: 25-Mar-2023
    • Show More Cited By

    Index Terms

    1. MOOS: A Multi-Objective Design Space Exploration and Optimization Framework for NoC Enabled Manycore Systems

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Embedded Computing Systems
        ACM Transactions on Embedded Computing Systems  Volume 18, Issue 5s
        Special Issue ESWEEK 2019, CASES 2019, CODES+ISSS 2019 and EMSOFT 2019
        October 2019
        1423 pages
        ISSN:1539-9087
        EISSN:1558-3465
        DOI:10.1145/3365919
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 08 October 2019
        Accepted: 01 July 2019
        Revised: 01 June 2019
        Received: 01 May 2019
        Published in TECS Volume 18, Issue 5s

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. Network-on-chip
        2. design optimization
        3. machine learning
        4. manycore systems

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Funding Sources

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)336
        • Downloads (Last 6 weeks)33
        Reflects downloads up to 27 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Preference-Aware Constrained Multi-Objective Bayesian OptimizationProceedings of the 7th Joint International Conference on Data Science & Management of Data (11th ACM IKDD CODS and 29th COMAD)10.1145/3632410.3632427(182-191)Online publication date: 4-Jan-2024
        • (2023)MOELA: A Multi-Objective Evolutionary/Learning Design Space Exploration Framework for 3D Heterogeneous Manycore Platforms2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137276(1-6)Online publication date: Apr-2023
        • (2023)Explainable-DSE: An Agile and Explainable Exploration of Efficient HW/SW Codesigns of Deep Learning Accelerators Using Bottleneck AnalysisProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 410.1145/3623278.3624772(87-107)Online publication date: 25-Mar-2023
        • (2023)FARSI: An Early-stage Design Space Exploration Framework to Tame the Domain-specific System-on-chip ComplexityACM Transactions on Embedded Computing Systems10.1145/354401622:2(1-35)Online publication date: 24-Jan-2023
        • (2023)Application Mapping Onto Manycore Processor Architectures Using Active Search FrameworkIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.323985031:6(789-801)Online publication date: 1-Jun-2023
        • (2023)Machine Learning for Heterogeneous Manycore DesignEmbedded Machine Learning for Cyber-Physical, IoT, and Edge Computing10.1007/978-3-031-39932-9_7(175-200)Online publication date: 10-Oct-2023
        • (2022)Feasibility Prediction for Rapid IC Design Space ExplorationElectronics10.3390/electronics1107116111:7(1161)Online publication date: 6-Apr-2022
        • (2022)Output Space Entropy Search Framework for Multi-Objective Bayesian OptimizationJournal of Artificial Intelligence Research10.1613/jair.1.1296672(667-715)Online publication date: 4-Jan-2022
        • (2022)CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory SystemsACM Transactions on Architecture and Code Optimization10.1145/353218519:3(1-25)Online publication date: 22-Aug-2022
        • (2022)MLCAD: A Survey of Research in Machine Learning for CAD Keynote PaperIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312476241:10(3162-3181)Online publication date: 1-Oct-2022
        • Show More Cited By

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        HTML Format

        View this article in HTML Format.

        HTML Format

        Get Access

        Login options

        Full Access

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media