Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Vector Extensions in COTS Processors to Increase Guaranteed Performance in Real-Time Systems

Published: 24 January 2023 Publication History

Abstract

The need for increased application performance in high-integrity systems such as those in avionics is on the rise as software continues to implement more complex functionalities. The prevalent computing solution for future high-integrity embedded products is multi-processor systems-on-chip (MPSoC) processors. MPSoCs include central processing unit (CPU) multicores that enable improving performance via thread-level parallelism. MPSoCs also include generic accelerators (graphics processing units [GPUs]) and application-specific accelerators. However, the data processing approach (DPA) required to exploit each of these underlying parallel hardware blocks carries several open challenges to enable the safe deployment in high-integrity domains. The main challenges include the qualification of its associated runtime system and the difficulties in analyzing programs deploying the DPA with out-of-the-box timing analysis and code coverage tools. In this work, we perform a thorough analysis of vector extensions (VExts) in current commercial off-the-shelf (COTS) processors for high-integrity systems. We show that VExts prevent many of the challenges arising with parallel programming models and GPUs. Unlike other DPAs, VExts require no runtime support, prevent design race conditions that might arise with parallel programming models, and have minimum impact on the software ecosystem, enabling the use of existing code coverage and timing analysis tools. We develop vectorized versions of neural network kernels and show that the NVIDIA Xavier VExts provide a reasonable increase in guaranteed application performance of up to 2.7x. Our analysis contends that VExts are the DPA approach with arguably the fastest path for adoption in high-integrity systems.

References

[1]
Sergi Alcaide, Leonidas Kosmidis, Hamid Tabani, Carles Hernández, Jaume Abella, and Francisco J. Cazorla. 2018. Safety-related challenges and opportunities for GPUs in the automotive domain. IEEE Micro 38, 6 (2018), 46–55. DOI:
[2]
Tanya Amert, Nathan Otterness, Ming Yang, James H. Anderson, and F. Donelson Smith. 2017. GPU scheduling on the NVIDIA TX2: Hidden details revealed. In 2017 IEEE Real-Time Systems Symposium (RTSS’17), Paris, France, December 5-8, 2017. IEEE Computer Society, New York, NY, 104–115. DOI:
[3]
Tanya Amert, Sergey Voronov, and James H. Anderson. 2019. OpenVX and real-time certification: The troublesome history. In IEEE Real-Time Systems Symposium (RTSS’19), Hong Kong, SAR, China, December 3-6, 2019. IEEE, New York, NY, 312–325. DOI:
[4]
Arm. 2020. Arm - Cortex-A57 Software Optimization Guide. Retrieved September 5, 2022 from https://developer.arm.com/documentation/uan0015/b/.
[5]
Arm. 2020. Arm - Neon Intrinsics Reference. Retrieved September 5, 2022 from https://developer.arm.com/architectures/instruction-sets/simd-isas/neon/intrinsics.
[6]
Baidu. 2019. Apollo, an Open Autonomous Driving Platform. Retrieved September 5, 2022 from http://apollo.auto/.
[7]
George H. Barnes, Richard M. Brown, Maso Kato, David J. Kuck, Daniel L. Slotnick, and Richard A. Stokes. 1968. The ILLIAC IV computer. IEEE Transactions on Computers 17, 8 (1968), 746–757. DOI:
[8]
Spiridon F. Beldianu and Sotirios G. Ziavras. 2013. Multicore-based vector coprocessor sharing for performance and energy gains. ACM Transactions on Embedded Computing Systems 13, 2, Article 17 (Sep.2013), 25 pages. DOI:
[9]
Marc Benito, Matina Maria Trompouki, Leonidas Kosmidis, Juan David Garcia, Sergio Carretero, and Ken Wenger. 2021. Comparison of GPU computing methodologies for safety-critical systems: An avionics case study. In Design, Automation & Test in Europe Conference & Exhibition (DATE’21), Grenoble, France, February 1–5, 2021. IEEE, New York, NY, 717–718. DOI:
[10]
Adam Betts, Nathan Chong, Alastair F. Donaldson, Shaz Qadeer, and Paul Thomson. 2012. GPUVerify: A verifier for GPU kernels. In Proceedings of the 27th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications (OOPSLA’12), part of SPLASH’12, Tucson, AZ, October 21-25, 2012, Gary T. Leavens and Matthew B. Dwyer (Eds.). ACM, New York, NY, 113–132. DOI:
[11]
Alejandro J. Calderón, Leonidas Kosmidis, Carlos F. Nicolás, Francisco J. Cazorla, and Peio Onaindia. 2020. GMAI: Understanding and exploiting the internals of GPU resource allocation in critical systems. ACM Transactions on Embedded Computing Systems 19, 5 (2020), 34:1–34:23. DOI:
[12]
Carlos Hervás García. 2020. AI-4-GNC airbus DS perspectives. In 14th ESA Workshop on Avionics, Data, Control and Software Systems (ADCSS’20). European Space Agency (ESA), Paris, France, 1–12.
[13]
Roberto Cavicchioli, Nicola Capodieci, Marco Solieri, and Marko Bertogna. 2019. Novel methodologies for predictable CPU-To-GPU command offloading. In 31st Euromicro Conference on Real-Time Systems (ECRTS’19), July 9–12, 2019, Stuttgart, Germany(Leibniz International Proceedings in Informatics, Vol. 133), Sophie Quinton (Ed.). Schloss Dagstuhl - Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 22:1–22:22. DOI:
[14]
Certification Authorities Software Team. 2016. CAST-32A Multi-core Processors. Certification Authorities Software Team. http://cast32a.com/files/cast-32a.pdf.
[15]
RAPITA Systems. A DANLAW Company. 2019. RapiCover. Low-overhead Coverage Analysis for Critical Software. Retrieved September 5, 2022 from https://www.rapitasystems.com/products/rapicover.
[16]
RAPITA Systems. A DANLAW Company. 2019. RapiTime. In-depth Execution Time Analysis for Critical Software. Retrieved September 5, 2022 from https://www.rapitasystems.com/products/rapitime.
[17]
Steven Derrien, Isabelle Puaut, Panayiotis Alefragis, Marcus Bednara, Harald Bucher, Clément David, Yann Debray, Umut Durak, Imen Fassi, Christian Ferdinand, Damien Hardy, Angeliki Kritikakou, Gerard K. Rauwerda, Simon Reder, Martin Sicks, Timo Stripf, Kim Sunesen, Timon D. ter Braak, Nikolaos S. Voros, and Jürgen Becker. 2017. WCET-aware parallelization of model-based applications for multi-cores: The ARGO approach. In Design, Automation & Test in Europe Conference & Exhibition (DATE’17), Lausanne, Switzerland, March 27-31, 2017, IEEE, New York, NY, 286–289.
[18]
Boris Dreyer and Christian Hochberger. 2019. Non-intrusive online timing analysis of large embedded applications. In 19th International Workshop on Worst-Case Execution Time Analysis (WCET’19), July 9, 2019, Stuttgart, Germany(OASICS, Vol. 72), Sebastian Altmeyer (Ed.). Schloss Dagstuhl - Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2:1–2:11. DOI:
[19]
Alfonso Farina. 2006. Introduction to Radar Signal and Data Processing: The Opportunity. Technical Report. Selex Sistemi Integrati, Rome, Italy. https://apps.dtic.mil/sti/pdfs/ADA472912.pdf.
[20]
Freescale semiconductor. 2016. QorIQ T2080 Reference Manual. Also supports T2081. Doc. No.: T2080RM. Rev. 3, 11/2016.
[21]
Jonah Gamba. 2020. Automotive Radar Applications. Springer Singapore, Singapore, 123–142. DOI:
[22]
Yi Ge, Yoshimasa Takebe, Masahiko Toichi, Makoto Mouri, Makiko Ito, Yoshio Hirose, and Hiromasa Takahashi. 2011. A vector coprocessor architecture for embedded systems. In 2011 International SoC Design Conference. IEEE, New York, NY, 195–198. DOI:
[23]
Mohamed Hassan, Anirudh M. Kaushik, and Hiren D. Patel. 2017. Predictable cache coherence for multi-core real-time systems. In 2017 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS’17), Pittsburgh, PA, April 18–21, 2017, Gabriel Parmer (Ed.). IEEE Computer Society, New York, NY, 235–246. DOI:
[24]
Daniel Hellström and Fabrice Cros. 2015. RTEMS SMP Final Report: Development Environment for Future Leon Multi-core. Technical Report. European Space Agency (ESA), Paris, France. http://microelectronics.esa.int/gr740/RTEMS-SMPFinalReport-CGAislerASD-OAR.pdf.
[25]
Martin Hofmann, Florian Neukart, and Thomas Bäck. 2017. Artificial intelligence and data science in the automotive industry. CoRR abs/1709.01989 (2017), 1–22. arXiv:1709.01989. http://arxiv.org/abs/1709.01989.
[26]
Shin Hong, Jaemin Ahn, Sangmin Park, Moonzoo Kim, and Mary Jean Harrold. 2012. Testing concurrent programs to achieve high synchronization coverage. In International Symposium on Software Testing and Analysis (ISSTA’12), Minneapolis, MN, July 15–20, 2012, Mats Per Erik Heimdahl and Zhendong Su (Eds.). ACM, New York, NY, 210–220. DOI:
[27]
International Organization for Standardization. 2009. ISO/DIS 26262. Road Vehicles–Functional Safety. International Organization for Standardization.
[28]
Chris W. Johnson. 2018. The increasing risks of risk assessment: On the rise of artificial intelligence and non-determinism in safety-critical systems. In the 26th Safety-Critical Systems Symposium. Safety-Critical Systems Club York, UK, SCSC on Amazon/CreateSpace, York, UK, 15.
[29]
Anirudh M. Kaushik, Paulos Tegegn, Zhuanhao Wu, and Hiren D. Patel. 2019. CARP: A data communication mechanism for multi-core mixed-criticality systems. In IEEE Real-Time Systems Symposium (RTSS’19), Hong Kong, SAR, China, December 3–6, 2019. IEEE, New York, NY, 419–432. DOI:
[30]
Mario Kovač, Philippe Notton, Daniel Hofman, and Josip Knezović. 2020. How Europe is preparing its core solution for exascale machines and a global, sovereign, advanced computing platform. Mathematical and Computational Applications 25, 3 (2020), 1–8. DOI:
[31]
Christoforos E. Kozyrakis and David A. Patterson. 2003. Overcoming the limitations of conventional vector processors. In 30th International Symposium on Computer Architecture (ISCA’03), June 9–11, 2003, San Diego, CA,Allan Gottlieb and Kai Li (Eds.). IEEE Computer Society, New York, NY, 399–409. DOI:
[32]
Hanbing Li, Isabelle Puaut, and Erven Rohou. 2015. Tracing flow information for tighter WCET estimation: Application to vectorization. In 21st IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA’15), Hong Kong, China, August 19–21, 2015. IEEE Computer Society, New York, NY, 217–226. DOI:
[33]
Yuan Lin, Nadev Baron, Hyunseok Lee, Scott Mahlke, and Trevor Mudge. 2004. A programmable Vector coprocessor architecture for wireless applications. In 3rd Workshop on Application Specific Processors. ACM, New York, NY, 103–110. https://cccp.eecs.umich.edu/papers/lin-wasp04.pdf.
[34]
Matina Maria Trompouki and Leonidas Kosmidis. 2021. DO-178C certification of general-purpose GPU software: Review of existing methods and future directions. In IEEE/AIAA 40th Digital Avionics Systems Conference (DASC’21). IEEE, New York, NY, 1–9. DOI:
[35]
Adrian Munera, Sara Royuela, Germán Llort, Estanislao Mercadal, Franck Wartel, and Eduardo Quiñones. 2020. Experiences on the characterization of parallel applications in embedded systems with Extrae/Paraver. In 49th International Conference on Parallel Processing (ICPP’20), Edmonton, AB, Canada, August 17–20, 2020, José Nelson Amaral, Lizy Kurian John, and Xipeng Shen (Eds.). ACM, New York, NY, 53:1–53:11. DOI:
[36]
Adrian Munera, Sara Royuela, and Eduardo Quiñones. 2020. Towards a qualifiable OpenMP framework for embedded systems. In 2020 Design, Automation & Test in Europe Conference & Exhibition, DATE’20, Grenoble, France, March 9–13, 2020. IEEE, New York, NY, 903–908. DOI:
[37]
Netlib.org. 2021. EISPACK. Retrieved September 5, 2022 from http://www.netlib.org/eispack/.
[38]
Eduardo Quiñones and Franck Wartel. 2019. Extrae: An OpenMP-compatible performance monitoring tool for the GR740. In GR740 User Day (at ESTEC/ESA). European Space Agency (ESA), Paris, France, 1–20.
[39]
NVIDIA. 2016. NVIDIA - Jetson TX1 Module. Retrieved September 5, 2022 from https://developer.nvidia.com/embedded/jetson-tx1.
[40]
NVIDIA. 2017. NVIDIA - Jetson TX2 Module. Retrieved September 5, 2022 from https://developer.nvidia.com/embedded/jetson-tx2.
[41]
NVIDIA. 2018. Technical Reference Manual. Xavier Series SoC. DP-09253-002. Version 1.1. Technical Report. NVIDIA.
[42]
NVIDIA. 2021. NVIDIA DRIVE PX. Scalable Supercomputer for Autonomous Driving. Retrieved September 5, 2022 from http://www.nvidia.com/object/drive-px.html.
[43]
Ignacio Sanudo Olmedo, Nicola Capodieci, Jorge Luis Martinez, Andrea Marongiu, and Marko Bertogna. 2020. Dissecting the CUDA scheduling hierarchy: A performance and predictability perspective. In IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS’20), Sydney, Australia, April 21–24, 2020. IEEE, New York, NY, 213–225. DOI:
[44]
Nathan Otterness and James H. Anderson. 2020. AMD GPUs as an alternative to NVIDIA for supporting real-time workloads. In 32nd Euromicro Conference on Real-Time Systems (ECRTS’20), July 7–10, 2020, Virtual Conference(Leibniz International Proceedings in Informatics, Vol. 165), Marcus Völp (Ed.). Schloss Dagstuhl - Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 10:1–10:23. DOI:
[45]
Haluk Ozaktas, Christine Rochange, and Pascal Sainrat. 2013. Automatic WCET analysis of real-time parallel applications. In 13th International Workshop on Worst-Case Execution Time Analysis (WCET’13), July 9, 2013, Paris, France(OASICS, Vol. 30), Claire Maiza (Ed.). Schloss Dagstuhl - Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 11–20. DOI:
[46]
Haluk Ozaktas, Christine Rochange, and Pascal Sainrat. 2014. Minimizing the cost of synchronisations in the WCET of real-time parallel programs. In 17th International Workshop on Software and Compilers for Embedded Systems SCOPES’14, Sankt Goar, Germany, June 10–11, 2014, Henk Corporaal and Sander Stuijk (Eds.). ACM, New York, NY, 98–107. DOI:
[47]
Chao Peng. 2019. On the correctness of GPU programs. In Proceedings of the 28th ACM SIGSOFT International Symposium on Software Testing and Analysis (ISSTA’19), Beijing, China, July 15–19, 2019, Dongmei Zhang and Anders Møller (Eds.). ACM, New York, NY, 443–447. DOI:
[48]
Jon Pérez-Cerrolaza, Roman Obermaisser, Jaume Abella, Francisco J. Cazorla, Kim Grüttner, Irune Agirre, Hamidreza Ahmadian, and Imanol Allende. 2020. Multi-core devices for safety-critical systems: A survey. ACM Computing Surveys 53, 4 (2020), 79:1–79:38. DOI:
[49]
Michael Platzer and Peter Puschner. 2021. Vicuna: A timing-predictable RISC-V vector coprocessor for scalable parallel computation. In 33rd Euromicro Conference on Real-Time Systems (ECRTS’21)(Leibniz International Proceedings in Informatics, Vol. 196), Björn B. Brandenburg (Ed.). Schloss Dagstuhl–Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 1:1–1:18. DOI:
[50]
Roger Pujol, Hamid Tabani, Leonidas Kosmidis, Enrico Mezzetti, Jaume Abella, and Francisco J. Cazorla. 2019. Generating and exploiting deep learning variants to increase heterogeneous resource utilization in the NVIDIA Xavier. In 31st Euromicro Conference on Real-Time Systems (ECRTS’19)(Leibniz International Proceedings in Informatics, Vol. 133), Sophie Quinton (Ed.). Schloss Dagstuhl–Leibniz-Zentrum fuer Informatik, Dagstuhl, Germany, 23:1–23:23. DOI:
[51]
David Radack, Harold G. Tiedeman, and Paul Parkinson. 2018. Civil Certification of Multi-core Processing Systems in Commercial Avionics. White Paper. Retrieved September 6, 2022 from https://www.collinsaerospace.com/-/media/CA/product-assets/marketing/m/multicore-processing-systems/multi-core-certification-white-paper.pdf?rev=5649fddf5fa345dfab1fbf5a6193112d.
[52]
Joseph Redmon and Ali Farhadi. 2018. YOLOv3: An incremental improvement. CoRR abs/1804.02767 (2018), 1–6. arXiv:1804.02767. http://arxiv.org/abs/1804.02767.
[53]
Sara Royuela, Alejandro Duran, Maria A. Serrano, Eduardo Quiñones, and Xavier Martorell. 2017. A functional safety OpenMP ^* for critical real-time embedded systems. In Proceedings of Scaling OpenMP for Exascale Performance and Portability — 13th International Workshop on OpenMP (IWOMP’17), Stony Brook, NY, September 20–22, 2017(Lecture Notes in Computer Science, Vol. 10468), Bronis R. de Supinski, Stephen L. Olivier, Christian Terboven, Barbara M. Chapman, and Matthias S. Müller (Eds.). Springer, New York, NY, 231–245. DOI:
[54]
RTCA and EUROCAE. 2011. DO-178C/ED-12C, Software Considerations in Airborne Systems and Equipment Certification. RTCA and EUROCAE.
[55]
RTCA and EUROCAE. 2011. RTCA DO-330 — Software Tool Qualification Considerations. RTCA and EUROCAE.
[56]
Nathanaël Sensfelder, Julien Brunel, and Claire Pagetti. 2020. On how to identify cache coherence: Case of the NXP QorIQ T4240. In 32nd Euromicro Conference on Real-Time Systems (ECRTS’20), July 7–10, 2020, Virtual Conference(Leibniz International Proceedings in Informatics, Vol. 165), Marcus Völp (Ed.). Schloss Dagstuhl - Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 13:1–13:22. DOI:
[57]
Nivedita Sritharan, Anirudh M. Kaushik, Mohamed Hassan, and Hiren D. Patel. 2019. Enabling predictable, simultaneous and coherent data sharing in mixed criticality systems. In IEEE Real-Time Systems Symposium, RTSS 2019, Hong Kong, SAR, China, December 3-6, 2019. IEEE, New York, NY, 433–445.
[58]
Nivedita Sritharan, Anirudh M. Kaushik, Mohamed Hassan, and Hiren D. Patel. 2019. Enabling predictable, simultaneous and coherent data sharing in mixed criticality systems. In IEEE Real-Time Systems Symposium (RTSS’19), Hong Kong, SAR, China, December 3–6, 2019. IEEE, New York, NY, 433–445. DOI:
[59]
Nigel Stephens, Stuart Biles, Matthias Boettcher, Jacob Eapen, Mbou Eyole, Giacomo Gabrielli, Matt Horsnell, Grigorios Magklis, Alejandro Martinez, Nathanaël Prémillieu, Alastair Reid, Alejandro Rico, and Paul Walker. 2017. The ARM scalable vector extension. IEEE Micro 37, 2 (2017), 26–39. DOI:
[60]
Hideki Sugimoto and Koji Adachi. 2020. Vector compliance testing for RISC-V. In RISC-V Global Forum. RISC-V International, Zurich, Switzerland, 1–35. Retrieved September 6, 2022 from https://riscvglobalforum2020.sched.com/event/dO3P/vector-compliance-testing-for-risc-v-hideki-sugimoto-koji-adachi-nsitexe-inc.
[61]
Lee Teschler. 2019. The Basics of Automotive Radar. Retrieved September 6, 2022 from https://www.designworldonline.com/the-basics-of-automotive-radar/.
[62]
Matina Maria Trompouki and Leonidas Kosmidis. 2018. Brook auto: High-level certification-friendly programming for GPU-powered automotive systems. In Proceedings of the 55th Annual Design Automation Conference (DAC’18), San Francisco, CA, June 24–29, 2018. ACM, New York, NY, 100:1–100:6. DOI:
[63]
Theo Ungerer, Christian Bradatsch, Martin Frieb, Florian Kluge, Jörg Mische, Alexander Stegmeier, Ralf Jahr, Mike Gerdes, Pavel G. Zaykov, Lucie Matusova, ZaiJianJia Li, Zlatko Petrov, Bert Böddeker, Sebastian Kehr, Hans Regler, Andreas Hugl, Christine Rochange, Haluk Ozaktas, Hugues Cassé, Armelle Bonenfant, Pascal Sainrat, Nick Lay, David George, Ian Broster, Eduardo Quiñones, Milos Panic, Jaume Abella, Carles Hernández, Francisco J. Cazorla, Sascha Uhrig, Mathias Rohde, and Arthur Pyka. 2016. Parallelizing industrial hard real-time applications for the parMERASA multicore. ACM Trans. Embed. Comput. Syst. 15, 3 (2016), 53:1–53:27.
[64]
VECTOR. 2021. Coffee with Vector: Code Coverage for CUDA Code using VectorCAST/QA. Retrieved September 6, 2022 from https://www.vector.com/es/es/eventos/global-de-en/webinar-recordings/2021/coffee-with-vector-code-coverage-for-cuda-code-using-vectorcastqa/.
[65]
Reinhard Wilhelm, Jakob Engblom, Andreas Ermedahl, Niklas Holsti, Stephan Thesing, David B. Whalley, Guillem Bernat, Christian Ferdinand, Reinhold Heckmann, Tulika Mitra, Frank Mueller, Isabelle Puaut, Peter P. Puschner, Jan Staschulat, and Per Stenström. 2008. The worst-case execution-time problem —overview of methods and survey of tools. ACM Transactions on Embedded Computing Systems 7, 3 (2008), 36:1–36:53. DOI:
[66]
Michael Wong, Michael Klemm, Alejandro Duran, Tim Mattson, Grant Haab, Bronis R. de Supinski, and Andrey Churbanov. 2010. Towards an error model for OpenMP. In Proceedings of Beyond Loop Level Parallelism in OpenMP: Accelerators, Tasking and More, 6th International Workshop on OpenMP (IWOMP’10), Tsukuba, Japan, June 14–16, 2010(Lecture Notes in Computer Science, Vol. 6132), Mitsuhisa Sato, Toshihiro Hanawa, Matthias S. Müller, Barbara M. Chapman, and Bronis R. de Supinski (Eds.). Springer, New York, NY, 70–82. DOI:
[67]
Xilinx. 2019. Rockwell Collins Uses Zynq UltraScale+ RFSoC Devices in Revolutionizing How Arrays are Produced and Fielded: Powered by Xilinx. Retrieved September 6, 2022 from https://www.xilinx.com/video/corporate/rockwell-collins-rfsoc-revolutionizing-how-arrays-are-produced.html.
[68]
Ming Yang, Nathan Otterness, Tanya Amert, Joshua Bakita, James H. Anderson, and F. Donelson Smith. 2018. Avoiding pitfalls when using NVIDIA GPUs for real-time tasks in autonomous systems. In 30th Euromicro Conference on Real-Time Systems, ECRTS’18, July 3–6, 2018, Barcelona, Spain(Leibniz International Proceedings in Informatics, Vol. 106), Sebastian Altmeyer (Ed.). Schloss Dagstuhl - Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 20:1–20:21. DOI:

Cited By

View all
  • (2024)A Predictable SIMD Library for GEMM Routines2024 IEEE 30th Real-Time and Embedded Technology and Applications Symposium (RTAS)10.1109/RTAS61025.2024.00013(55-67)Online publication date: 13-May-2024
  • (2024)Analyzing Real-Time Applied Applications in Silicon on Chip2024 International Conference on Optimization Computing and Wireless Communication (ICOCWC)10.1109/ICOCWC60930.2024.10470715(1-6)Online publication date: 29-Jan-2024
  • (2023)Evaluation of the Multicore Performance Capabilities of the Next Generation Flight Computers2023 IEEE/AIAA 42nd Digital Avionics Systems Conference (DASC)10.1109/DASC58513.2023.10311151(1-10)Online publication date: 1-Oct-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Embedded Computing Systems
ACM Transactions on Embedded Computing Systems  Volume 22, Issue 2
March 2023
560 pages
ISSN:1539-9087
EISSN:1558-3465
DOI:10.1145/3572826
  • Editor:
  • Tulika Mitra
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 24 January 2023
Online AM: 31 August 2022
Accepted: 16 August 2022
Revised: 06 July 2022
Received: 01 March 2022
Published in TECS Volume 22, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Vector extensions
  2. real-time
  3. embedded systems

Qualifiers

  • Research-article
  • Refereed

Funding Sources

  • European Research Council (ERC)
  • Spanish Ministry of Science and Innovation (AEI/10.13039/501100011033)

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)83
  • Downloads (Last 6 weeks)7
Reflects downloads up to 04 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)A Predictable SIMD Library for GEMM Routines2024 IEEE 30th Real-Time and Embedded Technology and Applications Symposium (RTAS)10.1109/RTAS61025.2024.00013(55-67)Online publication date: 13-May-2024
  • (2024)Analyzing Real-Time Applied Applications in Silicon on Chip2024 International Conference on Optimization Computing and Wireless Communication (ICOCWC)10.1109/ICOCWC60930.2024.10470715(1-6)Online publication date: 29-Jan-2024
  • (2023)Evaluation of the Multicore Performance Capabilities of the Next Generation Flight Computers2023 IEEE/AIAA 42nd Digital Avionics Systems Conference (DASC)10.1109/DASC58513.2023.10311151(1-10)Online publication date: 1-Oct-2023
  • (2023)Extending a predictable machine learning framework with efficient gemm-based convolution routinesReal-Time Systems10.1007/s11241-023-09407-z59:3(408-437)Online publication date: 28-Aug-2023
  • (2022)Improved real-time visual servo system by combining Xenomai with Linux system2022 International Conference on Advanced Mechatronic Systems (ICAMechS)10.1109/ICAMechS57222.2022.10003304(199-202)Online publication date: 17-Dec-2022

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Full Text

View this article in Full Text.

Full Text

HTML Format

View this article in HTML Format.

HTML Format

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media