Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Pipeline damping: a microarchitectural technique to reduce inductive noise in supply voltage

Published: 01 May 2003 Publication History
  • Get Citation Alerts
  • Abstract

    Scaling of CMOS technology causes the power supply voltages to fall and supply currents to rise at the same time as operating speeds are increasing. Falling supply voltages cause noise margins to decrease, while increasing current and frequency makes supply noise injection larger, especially noise caused by inductance in the supply lines. Creating power distribution systems is one of the key challenges in modern chip design. Decoupling capacitance helps reduce inductance effects, but there is often a peak in the supply impedance that occurs at a resonant frequency caused roughly by the package inductance and the chip decoupling capacitors. This frequency is on the order of 100MHz, which is much lower than the operating frequency of the processor. We propose pipeline damping, an architectural technique which controls instruction issue to guarantee bounds on current variation around the frequency of the supply resonance, thus reducing the resulting supply noise. Damping is a cheaper alternative to expensive, circuit-based noise-reduction techniques. We make the fundamental observation that limiting the current flow change (di) within resonant time period (dt) controls di/dt without large performance loss. Damping guarantees bounds on current variation while allowing processor current to increase or decrease to the magnitude required to maintain performance. Our results show that a damped processor guarantees a 33% reduction in the worst-case current variation with an average performance degradation of 7% and average energy delay of 1.09 compared to an undamped processor.

    References

    [1]
    W. Becker, H. Smith, T. McNamara, P. Muench, J. Eckhardt, M. McAllister, and G. Katopis. Mid-frequency simultaneous switching noise in computer systems. In 1997 Electronic Components and Technology Conference, pages 676--681, 1997.
    [2]
    D. Boggs. Breathing life into a paper tiger. In Keynote speech: 33rd International Symposium on Microarchitecture, Dec. 2000.
    [3]
    D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th Annual International Symposium on Computer Architecture, pages 83--94, June 2000.
    [4]
    D. Burger and T. M. Austin. The SimpleScalar tool set, version 2.0. Technical Report 1342, Computer Sciences Department, University of Wisconsin--Madison, June 1997.
    [5]
    D. Carmean. Personal communication. Feb. 2002.
    [6]
    E. Grochowski, D. Ayers, and V. Tiwari. Microarchitectural simulation and control of di/dt-induced power supply voltage variation. In Eighth International Symposium on High Performance Computer Architecture (HPCA), pages 7--16, Feb. 2001.
    [7]
    R. Heald, K. Aingaran, and et. al. A third-generation SPARC v9 64-b microprocessor. IEEE Journal of Solid-State Circuits, 35(11):1526--1538, Nov. 2000.
    [8]
    D. J. Herrell and B. Beker. Modeling of power distribution systems for high-performance microprocessors. IEEE Transactions on Advanced Packaging, 22(3):240--248, 1999.
    [9]
    R. Joseph, D. Brooks, and M. Martonosi. Control techniques to eliminate voltage emergencies in high-performance processors. In Ninth International Symposium on High Performance Computer Architecture (HPCA), pages 79--90, Feb. 2003.
    [10]
    M. Pant, P. Pant, D. Willis, and V. Tiwari. An architectural solution for the inductive noise problem due to clock-gating. In Proceedings of the International Symposium on Low Power Electronics and Design, pages 255--257, 1999.
    [11]
    A. Tang, N. Chang, S. Lin, W. Xie, S. Nakagawa, and L. He. Ramp up/down floating point unit to reduce inductive noise. In Lecture Notes in Computer Science, volume 2008, pages 291--321, 2001.

    Cited By

    View all

    Index Terms

    1. Pipeline damping: a microarchitectural technique to reduce inductive noise in supply voltage
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM SIGARCH Computer Architecture News
      ACM SIGARCH Computer Architecture News  Volume 31, Issue 2
      ISCA 2003
      May 2003
      422 pages
      ISSN:0163-5964
      DOI:10.1145/871656
      Issue’s Table of Contents
      • cover image ACM Conferences
        ISCA '03: Proceedings of the 30th annual international symposium on Computer architecture
        June 2003
        432 pages
        ISBN:0769519458
        DOI:10.1145/859618
        • Conference Chair:
        • Allan Gottlieb,
        • Program Chair:
        • Kai Li

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 May 2003
      Published in SIGARCH Volume 31, Issue 2

      Check for updates

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)7
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 11 Aug 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2016)Back to the Future: Current-Mode Processor in the Era of Deeply Scaled CMOSIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.245587424:4(1266-1279)Online publication date: 21-Mar-2016
      • (2015)RENOProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2744900(1-6)Online publication date: 7-Jun-2015
      • (2015)Analyzing the codeIEEE Spectrum10.1109/MSPEC.2015.711556652:6(50-51)Online publication date: 1-Jun-2015
      • (2013)Resilient Architecture Design for Voltage VariationSynthesis Lectures on Computer Architecture10.2200/S00486ED1V01Y201303CAC0228:2(1-138)Online publication date: 29-May-2013
      • (2011)Encoding-Based Algorithm for Minimization of Inductive Cross-Talk Based on Off-Chip Data TransmissionElectrical Power Systems and Computers10.1007/978-3-642-21747-0_119(923-929)Online publication date: 2011
      • (2009)A 65 nm 2-Billion Transistor Quad-Core Itanium ProcessorIEEE Journal of Solid-State Circuits10.1109/JSSC.2008.200715044:1(18-31)Online publication date: Jan-2009
      • (2008)A Rough Programming Approach to Power-Balanced Instruction Scheduling for VLIW Digital Signal ProcessorsIEEE Transactions on Signal Processing10.1109/TSP.2007.90900356:4(1698-1709)Online publication date: 1-Apr-2008
      • (2022)The StoopProceedings of the ACM on Human-Computer Interaction10.1145/35675677:GROUP(1-24)Online publication date: 29-Dec-2022
      • (2022)DarkGates: A Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA53966.2022.00089(1170-1183)Online publication date: Apr-2022
      • (2021)Predictive Guardbanding: Program-Driven Timing Margin Reduction for GPUsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.299268440:1(171-184)Online publication date: Jan-2021
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media